基于FPGA的曼切斯特编码的设计_第1页
基于FPGA的曼切斯特编码的设计_第2页
基于FPGA的曼切斯特编码的设计_第3页
基于FPGA的曼切斯特编码的设计_第4页
基于FPGA的曼切斯特编码的设计_第5页
已阅读5页,还剩57页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

毕 业 设 计 (论 文)GRADUATE DESIGN (THESIS)设计(论文)题目 基于 FPGA 的曼切斯特编码的设计学 生 学习中心 专 业 电气工程及其自动化指导教师 二一七年 三 月 二 日东北大学毕业设计(论文)东北大学继续教育学院教务处东北大学继续教育学院毕业设计(论文)摘 要在电信领域,曼彻斯特码是一种数据通讯线性码,它的每一个数据比特都是由至少一次电压转换的形式所表示的。它是一种超越传统数字传输的信道编码技术,同时被认为是一种自定时码。自定时就意味着完全可以实现数据流的精确同步。每一个比特都准确的在一个预先定义时期的时间中被传送。曼彻斯特编码已经被许多高效率且被广泛使用的电信标准所采用,例如以太网电讯标准。曼彻斯特编码具有隐含时钟、去除了零频率信号的特性,使得它在石油勘探测井中得到广泛的应用 1。本文对曼彻斯特编码相关原理和 FPGA 进行概述,介绍了其编解码规则。对其特点和应用范围进行了说明。提出了曼彻斯特编解码方案,重点运用 Verilog HDL 语言对其编解码的描述。最后讲述该系统在 Quartus 软件下的运行和仿真。关键词:FPGA;曼彻斯特编解码;Verilog HDL 语言东北大学继续教育学院毕业设计(论文)II东北大学继续教育学院毕业设计(论文)III目 录1.曼彻斯特码概述 .11.1 曼彻斯特码简介 .11.2 曼彻斯特码原理 .11.3 曼彻斯特编解码 .21.3.1 编码 .31.3.2 解码 .52.曼彻斯特编解码实现方法比较 .62.1 集成方法实现 .62.2 软件编解码 .72.2.1 单片机实现 .72.2.2 FPGA/CPLD 实现 .82.2.3 方案选择 .83.FPGA 原理及平台简介 .83.1 FPGA 原理与特点 .103.2 QUARTUS II 及 HDL 语言 .133.2.1 基于 QUARTUS II 的 FPGA 设计 .13东北大学继续教育学院毕业设计(论文)IV3.2.2 VERILOG HDL 简介 .164.系统总体设计 .194.1 编码器 .194.2 解码器 .234.3 时钟模块 .275.系统测试与仿真 .285.1 曼彻斯特编码仿真 .285.1.1 编码分析 .345.2 曼彻斯特解码仿真 .355.2.1 解码分析 .366.结论及展望 .37参考文献 .38附录 .40东北大学继续教育学院毕业设计(论文)1.曼彻斯特码概述1.1 曼彻斯特码简介在电信领域,曼彻斯特码 (也称作相位码或者 PE)是一种数据通讯线性码,它的每一个数据比特都是由至少一次电压转换的形式所表示。曼彻斯特编码因此被认为是一种自定时码。自定时意味着是可以实现数据流的精确同步。每一个比特都准确的在一预先定义时间时期的时间中被传送 2。曼彻斯特码又称双向码。它是对每个二进制代码分别利用两个具有两个不同相位的二进制新码去取代的码。双向码的特点是只用两个电平。与用高、低电平表示 0, 1的非归零二进制码相比,在连 0 或连 1 的情况下,更易于提取同步时钟信息,又无直流漂移,编、译码过程比较简单,且有强的抗干扰能力。1.2 曼彻斯特码原理曼彻斯特码是一种常用的用于数字基带传输的码型。它是一种用跳变沿(而非电平)来表示要传输的二进制信息(0 或 1) ,东北大学继续教育学院毕业设计(论文)2一般规定在位元中间用向下跳变表示“1” ,用向上跳变表示“0” 。曼彻斯特编码提供了一种简单的方法在长时间段内没有电平跳变的情况下,仍然能够对任意的二进制序列进行编码,并且防止低通模拟电路中低频直流飘移所引起的比特错误以及防止在这种情况下同步时钟信号的丢失3。如果保证传送的编码交流信号的直流分量为零并且能够防止中继信号的基线漂移,那么很容易实现信号的恢复和防止能量的浪费。所以曼彻斯特码具有丰富的位定时信息。同时,有许许多多的复杂的编码方法中,在达到同等目的情况下只需要减少带宽负荷并且只有减少的同步信号相位。二进制码与曼彻斯特码波形的对比关系如图 1-1。N R Z 数据时钟信号曼彻斯特110010110010图 1-1 二进制码与曼彻斯特码波形东北大学继续教育学院毕业设计(论文)31.3 曼彻斯特编解码本次论文曼彻斯特编解码由编码模块和解码模块二个部分组成。编码模块提供时钟源,并且对输入的待传送原码进行编码,将其转换成曼彻斯特码并发送。解码模块用则是将曼彻斯特码整形后利用同步时钟模块提供的同步信号把它转换成原码输出。二个相对独立的模块相互协同工作共同完成曼彻斯特编解码工作。1.3.1 编码曼彻斯特编码是一种自同步的编码方式,即时钟同步信号就隐藏在数据波形中。曼彻斯特编码电平跳变的规则是:低电平的中间时刻跳变表示为0 ,用高电平中间时刻的跳变表示为1 ,如下图 1-2 所示。因而这样防止时钟同步的丢失,或来自低频率位移在贫乏补偿的模拟链接位错误,在这个技术下,实际上的二进制数据被传输通过这个电缆,不是作为一个序列的逻辑 1 或 0来发送的。它具有自同步能力和良好的抗干扰性能。但每一个码元都被调成两个电平,所以数据传输速率只有调制速率的 1/2。下跳 1 上跳 0 东北大学继续教育学院毕业设计(论文)4图 1-2 曼彻斯特编码下面是一段数据串行信号“110110001” ,在上图中可以看出曼彻斯特编码信号的跳变都发生在中间时刻,它按照曼彻斯特的编码规则,可以表示“110110001”,如图 3-3 所示。 1 1 0 1 1 0 0 0 1 基带数字信号曼彻斯特信号图 3-3 信号的曼彻斯特编码曼彻斯特编码,常用于局域网传输。在曼彻斯特编码中,编码简单的二进制序列时,长周期没有转换级别因而导致时钟同步的丢失,或来自低频率位移在贫乏补偿的模拟链接位错误,根据这样的情况,曼彻斯特编码提供了一个简单的方式。而在这中技术下,二进制数据被传输通过这个电缆,不是作为一个序列的逻辑 1 或 0 来发送的。相反地,这些位被转换为一个稍微不同的格式,这样使用直接的二进制编码有很多的优点。在曼彻斯特编码中,用电压跳变的相位不同来区分 1 和 0,即用正的电压跳变表示 0,用负的电压跳变表示 1。因此,这种编码也称为相应编码。由于跳变都发生在每一个码元的中间,接收端东北大学继续教育学院毕业设计(论文)5可以方便地利用它作为位同步时钟,因此,这种编码也称为自同步编码。所以,每一位的中间有一跳变,位中间的跳变既作为时钟信号,又作为数据信号;从高到向低跳变表示“1” ,从低到向高跳变表示“0” 。还有一种是差分曼彻斯特编码,每位中间的跳变仅提供时钟定时,而用每位开始时有无跳变表示“0”或“1” ,有跳变为“0” ,无跳变为“1” 。曼彻斯特码由于其特殊的性能,被广泛应用于小功率无线传输系统中 4。曼彻斯特编码最大的优点是:数据和同步时钟统一编码,曼码中含有丰富的时钟信号,直流分量基本为零,接收器能够比较容易恢复同步时钟,并同步解调出信号,具有很好的抗干扰性能,这使他更适合信道传

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论