基于FPGA的通信系统建模与设计.doc_第1页
基于FPGA的通信系统建模与设计.doc_第2页
基于FPGA的通信系统建模与设计.doc_第3页
基于FPGA的通信系统建模与设计.doc_第4页
基于FPGA的通信系统建模与设计.doc_第5页
已阅读5页,还剩11页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

设计报告课题名称:基于FPGA的通信系统建模与设计学院:计算机工程系专业班级:2007级电子信息工程2班学号:学生:指导教师:教务处2010年12月27日设计报告基于FPGA的通信系统建模与设计第2页共16页学生指导教师课题名称基于FPGA的通信系统建模与设计设计时间2010年12月20日12月25日设计地点EDA实验室设计目的1、通过本课程设计,掌握通信原理中m序列的产生方法,特征多项式与电路之间的关系;二进制数字调制ASK和FSK原理。同时进一步掌握用FPGA建模和设计电路的方法。2、能够熟练地用原理图或VHDL语言对数字通信电路进行建模与设计,并进一步掌握QUARTUS的使用方法。一、系统概述和方案论证11、设计的背景和意义现代通信系统的发展已经逐步进入了数字通信的发展,数字通信具体是指用数字信号作为载体来传输消息,或用数字信号对载波进行数字调制后再传输的通信方式。它可传输电报、数字数据等数字信号,也可传输经过数字化处理的语声和图像等模拟信号。数字通信与以往模拟通信相比具有明显的优点。它抗干扰能力强,通信质量不受距离的影响能适应各种通信业务的要求,便于采用大规模集成电路,便于实现保密通信和计算机管理。不足之处是占用的信道频带较宽。数字信号指幅度的取值是离散的,幅值表示被限制在有限个数值之内。二进制码就是一种数字信号。二进制码受噪声的影响小,易于有数字电路进行处理,所以得到了广泛的应用。在通信系统中相比模拟信号,数字信号具有以下一些特点:1、抗干扰能力强、无噪声积累。在模拟通信中,为了提高信噪比,需要在信号传输过程中及时对衰减的传输信号进行放大,信号在传输过程中不可避免地叠加上的噪声也被同时放大。随着传输距离的增加,噪声累积越来越多,以致使传输质量严重恶化。对于数字通信,由于数字信号的幅值为有限个离散值(通常取两个幅值),在传输过程中虽然也受到噪声的干扰,但当信噪比恶化到一定程度时,即在适当的距离采用判决再生的方法,再生成没有噪声干扰的和原发送端一样的数字信号,所以可实现长距离高质量的传输。2、便于加密处理。信息传输的安全性和保密性越来越重要,数字通信的加密处理的比模拟信号通信容易得多,以话音信号为例,经过数字变换后的信号可用简单的数字逻辑运算进行加密、解密处理。3、便于存储、处理和交换。数字通信的信号形式和计算机所用信号一致,都是二进制代码,因此便于与计算机联网,也便于用计算机对数字信号进行存储、处理和交换,可使通信网的管理、维护实现自动化、智能化。便于用现代数字信号处理技术对其进行处理。设计报告基于FPGA的通信系统建模与设计第3页共16页4、设备便于集成化、微型化。数字通信采用时分多路复用,不需要体积较大的滤波器。设备中大部分电路是数字电路,可用大规模和超大规模集成电路实现,因此体积小、功耗低。5、便于构成综合数字网和综合业务数字网。采用数字传输方式,可以通过程控数字交换设备进行数字交换,以实现传输和交换的综合。另外,电话业务和各种非话业务都可以实现6、占用信道频带较宽。一路模拟电话的频带为4kHz带宽,一路数字电话约占64kHz,这是模拟通信目前仍有生命力的主要原因。随着宽频带信道(光缆、数字微波)的大量利用(一对光缆可开通几千路电话)以及数字信号处理技术的发展(可将一路数字电话的数码率由64kb/s压缩到32kb/s甚至更低的数码率),数字电话的带宽问题已不是主要问题了。因此,数字传输取代模拟传输是大势所趋。数字频带通信系统作为高性能通信系统应用前景十分广泛,而随着社会生产力发展到了新的阶段,各种电子新产品的开发速度越来越快。现代计算机技术和微电子技术进一步发展和结合使得集成电路的设计出现了两个分支。一个是传统的更高集成度的集成电路的进一步研究;另一个是利用高层次VHDL/Verilog等硬件描述语言对新型器件FPGA/CPLD进行专门设计,使之成为专用集成电路(ASIC)。这不仅大大节省了设计和制造时间,而且对设计者,无须考虑集成电路制造工艺,现已成为系统级产品设计的一项新的技术。现代通信技术的发展随着VHDL等设计语言的出现和ASIC的应用也进入了一个新的设计阶段,特别是,对数字通信系统的ASIC芯片的研究有着看得见的使用价值。EDA(ElectronicDesignAutomation)技术是现代电子设计技术的核心。它以EDA软件工具为开发环境,采用硬件描述语言(HardwareDescriptionLanguage,:HDL),采用可编程器件为实验载体,实现源代码编程、自动逻辑编译、逻辑简化、逻辑分割、逻辑综合、布局布线、逻辑优化和仿真等功能,以ASIC芯片为目标器件,以电子系统设计为应用方向的电子产品自动化的设计技术。EDA技术可把数字通信技术,微电子技术和现代电子设计自动技术结合起来,实现了硬件设计软件化,加速了数字通信系统设计的效率,降低了设计成本。本文基于FPGA芯片,采用VHDL语言,利用层次化、模块化设计方法,研究和设计数字基带信号ASK,FSK这两种常见的调制方式以及m序列的实现方法进行了介绍。12、QuartusII和VHDL简介121、QuartusII软件简介Quartus是Altera公司推出的CPLD/FPGA的开发工具,Quartus提供了完全集成且与电路结构无关的开发环境,具有数字逻辑设计的全部特性。Altera的QuartusII可编程逻辑软件属于第四代PLD开发平台。该平台支持一个工作组环境下的设计要求,其中包括支持基于Internet的协作设计。Quartus平台与Cadence、ExemplarLogic、MentorGraphics、Synopsys和Synplicity等EDA供应商的开发工具相兼容。改进了软件的LogicLock模块设计功能,增添了FastFit编译选项,推进了网络编辑性能,而且提升了调试能力。支持MAX7000/MAX3000等乘积项器件。设计报告基于FPGA的通信系统建模与设计第4页共16页122、VHDL语言简介VHDL的英文全名是Very-High-SpeedIntegratedCircuitHardwareDescriptionLanguage,被IEEE和美国国防部确认为标准硬件描述语言。VHDL主要用于描述数字系统的结构,行为,功能和接口,除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。二、系统设计方案论述21、ASK及其产生原理与VHDL设计方案论述211、ASK基本概念数字信号对载波振幅调制称为振幅键控即ASK(AmplitudeShiftKeying),ASK指的是振幅键控方式。这种调制方式是根据信号的不同,调节正弦波的幅度。212、ASK调制原理ASK有两种实现方法:乘法器实现法和键控法。1、乘法器实现法幅度键控可以通过乘法器和开关电路来实现。载波在数字信号1或0的控制下通或断,在信号为1的状态载波接通,此时传输信道上有载波出现;在信号为0的状态下,载波被关断,此时传输信道上无载波传送。那么在接收端我们就可以根据载波的有无还原出数字信号的1和0。对于二进制幅度键控信号的频带宽度为二进制基带信号宽度的两倍。乘法器实现法的调制方框图如图1所示:图1乘法器产生ASK信号原理框图图1(a)为ASK调制器框图,它的输入是随机信息序列,以kA所示。经过基带信形设计报告基于FPGA的通信系统建模与设计第5页共16页成器,产生波形序列,设形成器的基本波形为:上式中,TB为码元宽度;kA是第k个输入随机信息。乘法器用来进行频谱搬移,乘法器后的带通滤波器用来滤除高频谐波和低频干扰。带通滤波器的输出就是振幅键控信号,用()ASKUt表示(乘法器常采用环形调制器)。2、键控法实现法键控法是产生ASK信号的另一种方法。二元制ASK又称为通断控制(OOK)。最典型的实现方法是用一个电键来控制载波振荡器的输出而获得。图2所示为该方法的原理框图:图2键控法产生ASK信号原理框图213、ASK调制建模原理ASK调制的建模方框图如图3所示(图中没有包含模拟电路部分,输出信号为数字信号)。图3ASK调制原理建模方框图ASK调制电路的VHDL建模符号如图4所示。图4ASK调制电路的VHDL建模符号()()kBkutAgtkT设计报告基于FPGA的通信系统建模与设计第6页共16页建模思想:1、采用数字载波信号;数字载波信号产生的方法既可以从外部输入,也可以通过高频时钟信号分频得到。2、采用键控法调制;在图3中,数字基带信号作为键控信号控制与门来完成ASK调制。3、数字载波调制的ASK信号可经过外接滤波器转换成模拟形式的信号输出;因为采用数字载波调制的ASK信号是数字信号,含丰富的高频成分,所以经过一个带通滤波器或低通滤波器后,将减少高频成分,输出的信号接近模拟载波调制。本章的侧重点放在可数字化处理部分。214、ASK调制VHDL程序-文件名:PL_ASK-功能:基于VHDL硬件描述语言,对基带信号进行ASK振幅调制libraryieee;useieee.std_logic_arith.all;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityPL_ASKisport(clk:instd_logic;-系统时钟start:instd_logic;-开始调制信号x:instd_logic;-基带信号y:outstd_logic);-调制信号endPL_ASK;architecturebehavofPL_ASKissignalq:integerrange0to3;-分频计数器signalf:std_logic;-载波信号beginifclkeventandclk=1thenifstart=0thenq=0;elsifq=1thenf=1;q=q+1;-改变q后面数字的大小,就可以改变载波信号的占空比elsifq=3thenf=0;q=0;-改变q后面数字的大小,就可以改变载波信号的频率elsef=0;q=q+1;endif;endif;endprocess;y=xandf;-对基带码进行调制endbehave;设计报告基于FPGA的通信系统建模与设计第7页共16页215、ASK调制VHDL程序仿真图及注释图5ASK调制VHDL程序仿真图波形分析:1、基带码长等于载波f的6个周期;2、输出的调制信号y滞后于输入基带信号x一个clk时间。22、FSK及其产生原理与VHDL设计方案论述221、FSK调制基本概念FSK(FrequencyShiftKeying)又称频移键控,它是利用载频频率的变化来传递数字信息。数字调频信号可以分为相位离散和相位连续两种。若两个载频由不同的独立振荡器提供,它们之间的相位互不相关,就称为相位离散的数字调频信号;若两个频率由同一振荡器提供,只是对其中一个载频进行分频,这样产生的两个载频就是相位连续的数字调频信号。以往的FSK调制解调器采用“集成电路+连线”方式设计,集成块多、连线复杂且体积较大。本文基于这样的背景下采用VHDL语言,提出了一种FSK调制解调器的实现方法。222、FSK调制原理FSK信号的产生有两种方法,直接调频法和频率键控法。1、直接调频法直接调频法是用数字基带信号直接控制载频振荡器的振荡频率。直接调频法实现电路有许多,一般采用的控制方法是:当基带信号为正时(相当于“1”码),改变振荡器谐振回路的参数(电容或者电感数值),使振荡器的振荡频率提高(设为1f);当基带信号为负时(相当于“0”码),改变振荡器谐振回路的参数(电容或者电感数值),使振荡器的振荡频率降低(设为2f);从而实现了调频,这种方法产生的调频信号是相位连续的。虽然实现方法简单,但频率稳定度不高,同时频率转换速度不能做得太快。2、频率键控法频率键控法也称频率选择法,图6是它实现的原理框图。它有两个独立的振荡器,数字基带信号控制转换开关,选择不同频率的高频振荡信号实现FSK调制。设计报告基于FPGA的通信系统建模与设计第8页共16页图6频率键控法的原理框图键控法产生的FSK信号频率稳定度可以做得很高并且没有过渡频率,它的转换速度快,波形好。频率键控法在转换开关发生转换的瞬间,两个高频振荡的输出电压通常不可能相等,于是UFSK(t)信号在基带信息变换时电压会发生跳变,这种现象也称为相位不连续,这是频率键控特有的情况。图7是利用两个独立分频器,以频率键控法来实现FSK调制的原理电路图。图7利用独立分频器的键控法实现FSK调制在图7中,与非门3和4起到了转换开关的作用。当数字基带信号为“1”时,与非门4打开,1f输出,当数字基带信号为“0”时,与非门3打开,2f输出,从而实现了FSK调制。键控法也常常利用数字基带信号去控制可变分频器的分频比来改变输出载波频率,从而实现FSK调制。图8-是一个1113可控分频器原理图。图8利用可变分频器实现FSK调制设计报告基于FPGA的通信系统建模与设计第9页共16页当数字基带信号为“1”时,第四级双稳态电路输出的反馈脉冲被加到第一级和第二级双稳态电路上,此时分频比为13;当基带信号为“0”时,第四级双稳态电路输出的反馈脉冲被加到

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论