数字电路课程设计:洗衣机计数器_第1页
数字电路课程设计:洗衣机计数器_第2页
数字电路课程设计:洗衣机计数器_第3页
数字电路课程设计:洗衣机计数器_第4页
数字电路课程设计:洗衣机计数器_第5页
已阅读5页,还剩6页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

课 程 设 计 报 告 课 题 : 洗衣机报 告 人 : 刘 靖 20081610410同组成员 : 吴俊、郑淑芳、彭亮课程设计时间 : 11月06日-11月17日 课题 :洗衣机计数器功能:1、实现倒数功能 2、报警,提醒功能 3、设置洗衣时间的功能 4、正转、反转的功能主要功能模块:1、分频模块CP,得到20HZ的脉冲信号。 2、计时主控模块,实现分,秒的正常循环运行。 3、PADTOKEY按键模块。4、LCD显示模块。5、正转、反转模块。6、开关、电平灯模块。程序如下:PADTOKEY模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;entity PADTOKEY is port ( CPIN,R,VALIDKEY :IN STD_LOGIC; KEY :IN STD_LOGIC_VECTOR(3 DOWNTO 0); KEYOUT: OUT STD_LOGIC_VECTOR(7 DOWNTO 0); CLK_1MHZ,RST,flag: OUT STD_LOGIC );end entity ;architecture JGT of PADTOKEY is SIGNAL CTCP: INTEGER RANGE 0 TO 5; SIGNAL CPO :STD_LOGIC; SIGNAL CTCPO :INTEGER RANGE 0 TO 4999; TYPE STATES IS (S0,S1,S2); SIGNAL S: STATES;beginPROCESS(CPIN)BEGINIF CPIN=1 AND CPIN EVENT THEN IF CTCP=5 THEN CPO = NOT CPO; CTCP=0; ELSE CTCP=CTCP+1; END IF;END IF;END PROCESS;PROCESS(CPin,R) -BEGINCLK_1MHZ=CPO;IF R=1 THEN RST=0;S=S0;CTCPO=0; KEYOUT=00000000;ELSIF CPin=1 AND CPin EVENT THEN - -IF CTCPO /= 4999 THEN -CTCPO=CTCPO+1; -ELSE - CTCPORST=0;flag=0; IF VALIDKEY=1 THEN SKEYOUTKEYOUTKEYOUTKEYOUTKEYOUTKEYOUTKEYOUTKEYOUTKEYOUTKEYOUTKEYOUTKEYOUTKEYOUTKEYOUTKEYOUTKEYOUTNULL; END CASE; ELSE S=S0;KEYOUTRST=1;S=S2;flagRST=0;S=S0;flag NULL; END CASE; -END IF;END IF;END PROCESS;end architecture JGT;LCD显示模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;entity GENLCD8 is port (CPIN,R,BUSY :IN STD_LOGIC; D0,D1,D2,D3 :IN STD_LOGIC_VECTOR(7 DOWNTO 0); CLK,RST,STROBE,OUTLINE : OUT STD_LOGIC; DATA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); ADDR : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) );end entity ;architecture JGT of GENLCD8 is TYPE STATES IS (S0,S1,S2); SIGNAL S: STATES; SIGNAL LCDPT : INTEGER RANGE 0 TO 8; SIGNAL CPCT : INTEGER RANGE 0 TO 65535; SIGNAL CP: STD_LOGIC;beginPROCESS(CPIN,R)BEGINCLK=CPIN;IF R=1 THEN CPCT=65535;ELSIF CPIN=1 AND CPIN EVENT THEN IF CPCT=0 THEN CPCT=65535;CP=NOT CP; ELSE CPCT=CPCT-1; END IF;END IF;END PROCESS; PROCESS(CP,R)BEGINIF R=1 THEN S=S0;LCDPT=0;RST S=S1;LCDPT=0;RST RST=0;STROBE=0; IF BUSY=0 THEN IF LCDPT=12 THEN LCDPT=1; ELSE LCDPT=LCDPT+1; END IF; S S=S1;STROBE NULL; END CASE;END IF; END PROCESS;PROCESS(LCDPT)BEGINCASE LCDPT ISWHEN 0 = NULL;WHEN 1=DATA=0011&D0(7 DOWNTO 4);ADDR=0010;OUTLINEDATA=0011&D0(3 DOWNTO 0);ADDR=0011;OUTLINEDATA=0011&D1(7 DOWNTO 4);ADDR=0101;OUTLINEDATA=0011&D1(3 DOWNTO 0);ADDR=0110;OUTLINEDATA=0011&D2(7 DOWNTO 4);ADDR=1001;OUTLINEDATA=0011&D2(3 DOWNTO 0);ADDR=1010;OUTLINEDATA=0011&D3(7 DOWNTO 4);ADDR=1100;OUTLINEDATA=0011&D3(3 DOWNTO 0);ADDR=1101;OUTLINEDATA=01011000;ADDR=0010;OUTLINEDATA=01000100;ADDR=0011;OUTLINEDATA=01000010;ADDR=1001;OUTLINEDATA=01011010;ADDR=1010;OUTLINE NULL;END CASE;END PROCESS;END JGT;CP模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY DK is PORT (CPIN,R:IN STD_LOGIC; );END ENTITY ;PROCESS(CPIN,R)BEGINIF R=1 THEN CPCT=249999;ELSIF CPIN=1 AND CPIN EVENT THEN IF CPCT=0 THEN CPCT=249999;CP=NOT CP; ELSE CPCT=CPCT-1; END IF;END IF;END PROCESS;END XYJ;蜂鸣模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity spea isport(cp,r:in std_logic; speakers:out std_logic );end spea;architecture jgt of spea issignal cpct:integer range 0 to 5; -GAIsignal speak:std_logic;beginprocess(cp,r)beginif r=1 then cpct=0;speak=0;elsif cp=1 and cp event then if cpct=5 then -GAI cpct=0;speak=not speak; else cpct=cpct+1; end if;end if;end process;process(speak)beginspeakers=speak;end process;开关、电平灯模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity anjian isport(checkin:in std_logic_vector(7 downto 0); checkout:out std_logic_vector(7 downto 0) );end anjian;architecture jgt of anjian isbeginprocess(checkin)begincheckout=checkin;end process;end jgt;主控模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY DK is PORT (CPIN,R,START,START2,SET_TIME,FS_END,GZ,SU,SD,GU,GD :IN STD_LOGIC; SPEAKER,LIGHT,GZLIGHT :OUT STD_LOGIC; XD_Time,BZ :OUT STD_LOGIC_VECTOR(7 DOWNTO 0); ZYDeng :OUT STD_LOGIC_VECTOR(2 DOWNTO 0) );END ENTITY ;ARCHITECTURE XYJ OF DK ISSIGNAL CPCT :INTEGER RANGE 0 TO 999999;SIGNAL CP,SPEAK:STD_LOGIC;SIGNAL ZT_N : INTEGER RANGE 0 TO 99;SIGNAL ZSTime,OMTime,SGTime,FMTime,ZTime,JCTime :STD_LOGIC_VECTOR(11 DOWNTO 0);SIGNAL XDTime,BZ_S :STD_LOGIC_VECTOR(7 DOWNTO 0);TYPE STATES IS (S0,S1,S2,S3,S4,S5,S6,S7,S8,S9,S10);-S0复位;S1开始;S2设时;S3放水;S4洗涤;S5放水结束;S6甩干;S7蜂鸣;S8故障;S9空;S停留时间TYPE S4STATES IS (P0,P1,P2,P3);SIGNAL S : STATES;SIGNAL P : S4STATES;BEGINPROCESS(CPIN,R)BEGINIF R=1 THEN CPCT=249999;ELSIF CPIN=1 AND CPIN EVENT THEN IF CPCT=0 THEN CPCT=249999;CP=NOT CP; ELSE CPCT=CPCT-1; END IF;END IF;END PROCESS;PROCESS(CP,R)BEGINIF R=1 THEN S=S0;ZSTime=X028;OMTime=X4B0;JCTime=X008;GZLIGHT=0; SGTime=X028;FMTime=X028;XDTime=X00;BZ_S=X00;LIGHT=1; SPEAK BZ_S=X00;S=S1;ZSTime=X028;OMTime=X4B0;LIGHT=1; SGTime=X028;FMTime=X028;XDTime=X1E;GZLIGHT=0; JCTime=X008;SPEAK BZ_S=X01; IF SET_TIME=1 THEN S=S2; ELSIF START=1 THEN S=S3; ELSIF START2=1 THEN S=S6;XDTime BZ_S=X02; IF GZ=1 THEN S=S8; ELSE IF GU=1 THEN IF XDTime=X63 THEN XDTime=X00; ELSE XDTime=XDTime+1; END IF; S=S10; ELSIF GD=1 THEN IF XDTime=X00 THEN XDTime=X63; ELSE XDTime=XDTime-1; END IF; SX59 THEN XDTime=X00; ELSE XDTime=XDTime+X0A; END IF; S=S10; ELSIF SD=1 THEN IF XDTimeX0A THEN XDTime=X63; ELSE XDTime=XDTime-X0A; END IF; S=S10; ELSIF START=1 THEN S BZ_S=X03; IF GZ=1 THEN SX000 THEN ZSTime=ZSTime-1; ELSE S BZ_S=X04; IF GZ=1 THEN S0 THEN IF OMTime0 THEN OMTime=OMTime-1; ELSE OMTime=X4B0; XDTime=XDTime-1; END IF; ELSE S BZ_S=X05; IF GZ=1 THEN S=S8; ELSE IF FS_END=1 THEN S BZ_S=X06; IF GZ=1 THEN SX000 THEN SGTime=SGTime-1; ELSE FMTime=X028; S BZ_SX000 THEN SPEAK=1;FMTime=FMTime-1; ELSE S=S9;SPEAK=0;BZ_S=X00;LIGHT BZ_S=X08; IF GZ=1 THEN SPEAK=1;GZLIGHT=1; ELSE S=S9;SPEAK=0;BZ_S=X00;LIGHT=0;XDTime=X00; GZLIGHT IF JCTimeX000 THEN JCTime=JCTime-1; ELSE S=S2;JCTime NULL; END CASE;END IF;END PROCESS;PROCESS (BZ_S)BEGINBZ=BZ_S;END PROCESS;PROCESS (SPEAK)BEGINSPEAKER=SPEAK;END PROCESS;PROCESS (XDTime)BEGINIF XDTimeX0A THEN XD_Time=XDTime;ELSIF XDTimeX14 THEN XD_Time=XDTime+6;ELSIF XDTimeX1E THEN XD_Time=XDTime+12;ELSIF XDTimeX28 THEN XD_Time=XDTime+18;ELSIF XDTimeX32 THEN XD_Time=XDTime+24;ELSIF XDTimeX3C THEN XD_Time=XDTime+30;ELSIF XDTimeX46 THEN XD_Time=XDTime+36;ELSIF XDTimeX50 THEN XD_Time=XDTime+42;ELSIF XDTimeX5A THEN XD_Time=XDTime+48;ELSIF XDTimeX64 THEN XD_Time=XDTime+54;END IF;END PROCESS;END XYJ;正转,反转模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;PROCESS (CP,R,XDTime)BEGINIF R=1 THEN P=P0;ZTime=X014;ZYDeng=000;ZT_N P=P1;ZTime=X014;ZYDeng=000;ZT_N ZYDeng0 THEN IF ZTime0 THEN ZTime=ZTime-1; ELSE ZT_N=ZT_N-1; ZTime=X014; END IF; ELSE P=P2;Z

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论