电子科技大学eda基于VerilogHDL语言的ISE设计流程.ppt_第1页
电子科技大学eda基于VerilogHDL语言的ISE设计流程.ppt_第2页
电子科技大学eda基于VerilogHDL语言的ISE设计流程.ppt_第3页
电子科技大学eda基于VerilogHDL语言的ISE设计流程.ppt_第4页
电子科技大学eda基于VerilogHDL语言的ISE设计流程.ppt_第5页
已阅读5页,还剩84页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

基于Verilog HDL语言的ISE设计流程 -启动ISE13.2软件,方法1:在开始菜单下找到ISE的启动图标,方法2:在桌面上找到ISE图标,点击该图标启动ISE13.2软件,基于Verilog HDL语言的ISE设计流程 -新建工程,基于Verilog HDL语言的ISE设计流程 -新建工程,输入工程名字:counter,工程所在的目录,基于Verilog HDL语言的ISE设计流程 -新建工程,基于Verilog HDL语言的ISE设计流程 -创建一个新工程,基于Verilog HDL语言的ISE设计流程 -创建一个新工程,基于Verilog HDL语言的ISE设计流程 -创建一个新的设计文件,基于Verilog HDL语言的ISE设计流程 -创建一个新的设计文件,基于Verilog HDL语言的ISE设计流程 -创建一个新的设计文件,选择Verilog HDL Module,输入”top”作为Verilog HDL模块的名字,点击“Next”按钮,基于Verilog HDL语言的ISE设计流程 -创建一个新的设计文件,基于Verilog HDL语言的ISE设计流程 -创建一个新的设计文件,设计总结,基于Verilog HDL语言的ISE设计流程 -创建一个新的设计文件,基于Verilog HDL语言的ISE设计流程 -创建一个新的设计文件,此处添加端口声明语句,基于Verilog HDL语言的ISE设计流程 -创建一个新的设计文件,4位16进制计数器模块,下一步对该模块进行综合,产生计数器使能信号,基于Verilog HDL语言的ISE设计流程 -对该设计文件进行综合,行为级综合可以自动将系统直接从行为级描述综合为寄存器传输级描述。 行为级综合的输入为系统的行为级描述,输出为寄存器传输级描述的数据通路。 行为级综合工具可以让设计者从更加接近系统概念模型的角度来设计系统。同时,行为级综合工具能让设计者对于最终设计电路的面积、性能、功耗以及可测性进行很方便地优化。 行为级综合所需要完成的任务从广义上来说可以 分为分配、调度以及绑定。,基于Verilog HDL语言的ISE设计流程 -对该设计文件进行综合,在ISE的主界面的处理子窗口 的synthesis的工具可以完成下面的任务: 查看RTL原理图(View RTL schematic) 查看技术原理图(View Technology Schematic) 检查语法(Check Syntax) 产生综合后仿真模型(Generate Post-Synthesis Simulation Model)。,基于Verilog HDL语言的ISE设计流程 -对该设计文件进行综合,控制台界面中给出综合过程的信息,基于Verilog HDL语言的ISE设计流程 -对该设计文件进行综合,综合工具在对设计的综合过程中,主要执行以下三个步骤: 语法检查过程,检查设计文件语法是否有错误; 编译过程,翻译和优化HDL代码,将其转换为综合工具可以识别的元件序列; 映射过程,将这些可识别的元件序列转换为可识别的目标技术的基本元件;,基于Verilog HDL语言的ISE设计流程 -查看综合后的结果,通过查看综合后的结 果 ,你就会清楚地理解到底 什么是综合?综合的本质特 征。,基于Verilog HDL语言的ISE设计流程 -查看综合后的结果,基于Verilog HDL语言的ISE设计流程 -查看综合后的结果,基于Verilog HDL语言的ISE设计流程 -查看综合后的结果,基于Verilog HDL语言的ISE设计流程 -揭开LUT的秘密,0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1,终于明白了FPGA的LUT 是怎么实现逻辑功能的,基于Verilog HDL语言的ISE设计流程 -对该设计进行行为仿真,基于Verilog HDL语言的ISE设计流程 -对该设计进行行为仿真,基于Verilog HDL语言的ISE设计流程 -对该设计进行行为仿真,基于Verilog HDL语言的ISE设计流程 -对该设计进行行为仿真,基于Verilog HDL语言的ISE设计流程 -对该设计进行行为仿真,基于Verilog HDL语言的ISE设计流程 -对该设计进行行为仿真,基于Verilog HDL语言的ISE设计流程 -对该设计进行行为仿真,基于Verilog HDL语言的ISE设计流程 -对该设计进行行为仿真,添加此段代码 用于生成rst、clk测 试信号,基于Verilog HDL语言的ISE设计流程 -对该设计进行行为仿真,基于Verilog HDL语言的ISE设计流程 -对该设计进行行为仿真,基于Verilog HDL语言的ISE设计流程 -对该设计进行行为仿真,关闭整个仿真窗口,继续下面的设计,基于Verilog HDL语言的ISE设计流程 -添加实现约束文件,基于Verilog HDL语言的ISE设计流程 -添加实现约束文件,基于Verilog HDL语言的ISE设计流程 -添加实现约束文件,基于Verilog HDL语言的ISE设计流程 -添加实现约束文件,基于Verilog HDL语言的ISE设计流程 -添加实现约束文件,基于Verilog HDL语言的ISE设计流程 -添加实现约束文件,基于Verilog HDL语言的ISE设计流程 -添加实现约束文件,保存引脚约束,并退出该界面,基于Verilog HDL语言的ISE设计流程 -实现设计,基于Verilog HDL语言的ISE设计流程 -实现设计,基于Verilog HDL语言的ISE设计流程 -查看布局布线后结果,基于Verilog HDL语言的ISE设计流程 -查看布局布线后结果,基于Verilog HDL语言的ISE设计流程 -查看布局布线后结果,基于Verilog HDL语言的ISE设计流程 -查看布局布线后结果,关闭FPGA Editor界面,基于Verilog HDL语言的ISE设计流程 -下载设计到FPGA芯片,准备工作: 将HEP的USB-JTAG电缆分别和计算机USB接口及EXCD-1目标板上的JTAG7针插口连接; 计算机自动安装JTAG驱动程序; 给EXCD-1目标板上电;,基于Verilog HDL语言的ISE设计流程 -下载设计到FPGA芯片,基于Verilog HDL语言的ISE设计流程 -下载设计到FPGA芯片,鼠标右击该区域,基于Verilog HDL语言的ISE设计流程 -下载设计到FPGA芯片,基于Verilog HDL语言的ISE设计流程 -下载设计到FPGA芯片,基于Verilog HDL语言的ISE设计流程 -下载设计到FPGA芯片,基于Verilog HDL语言的ISE设计流程 -下载设计到FPGA芯片,基于Verilog HDL语言的ISE设计流程 -下载设计到FPGA芯片,基于Verilog HDL语言的ISE设计流程 -下载设计到FPGA芯片,基于Verilog HDL语言的ISE设计流程 -下载设计到FPGA芯片,基于Verilog HDL语言的ISE设计流程 -下载设计到FPGA芯片,基于Verilog HDL语言的ISE设计流程 -生成PROM文件并下载到PROM,基于Verilog HDL语言的ISE设计流程 -生成PROM文件并下载到PROM,基于Verilog HDL语言的ISE设计流程 -生成PROM文件并下载到PROM,基于Verilog HDL语言的ISE设计流程 -生成PROM文件并下载到PROM,基于Verilog HDL语言的ISE设计流程 -生成PROM文件并下载到PROM,基于Verilog HDL语言的ISE设计流程 -生成PROM文件并下载到PROM,基于Verilog HDL语言的ISE设计流程 -生成PROM文件并下载到PROM,基于Verilog HDL语言的ISE设计流程 -生成PROM文件并下载到PROM,基于Verilog HDL语言的ISE设计流程 -生成PROM文件并下载到PROM,基于Verilog HDL语言的ISE设计流程 -生成PROM文件并下载到PROM,关闭该界面,基于Verilog HDL语言的ISE设计流程 -生成PROM文件并下载到PROM,下面将生成的PROM文件烧到PROM芯片中。,基于Verilog HDL语言的ISE设计流程 -生成PROM文件并下载到PROM,基于Verilog HDL语言的ISE设计流程 -生成PROM文件并下载到PROM,基于Verilog HDL语言的ISE设计流程 -生成PROM文件并下载到PROM,基于Verilog HDL语言的ISE设计流程 -生成PROM文件并下载到PROM,基于Verilog HDL语言的ISE设计流程 -生成PROM文件并下载到PROM,关闭电源重新上电,程序从PROM自动引导到FPGA芯片中。,关闭配置界面,不保存任何信息。(一定不要保存 任何信息),ChipScope Pro的组成,IBA Core(Integrated Bus Analyzer Core):用于观察总线上的信号。根据所跟踪的不同总线结构,该内核可分为IBA/OPB Core和IBA/PLB Core模块。这两个模块通常用于对Xilinx Virtex-II Pro器件中的PowerPC 405嵌入式系统内核及MicroBlaze 32位嵌入式处理器的总线进行跟踪和测试。,片内逻辑分析仪使用流程,片内逻辑分析仪中的几个概念,触发器:引发数据记录的条件(逻辑表达式) 触发器序列:一组存在先后顺序的条件,只有依次满足这些条件后,才会引发数据记录 触发器端口:触发器中的变量 匹配单元:触发器中的逻辑比较单元 触发计数器:对同一触发条件进行计数的计数器 数据宽度:每次采样的信号个数 数据深度:总的可以采样的次数,即数据宽度与窗口个数的乘积 触发位置:触发点在所记录的数据中的位置(用于观察触发点前的数据),逻辑分析核的插入,两种方式: 在源代码中插入:比较繁琐,本课程不作介绍 在网表文件中插入:相对简单 利用Core Inserter选择网表文件以及器件类型,ICON参数设置,注意:除非全局时钟资源非常紧张的情况下,才选择禁止插入BUFG,因为采用普通布线资源,会在JTAG时钟线上产生较大的布线延时偏移,破坏待分析信号之间的时序关系。,触发器参数的定制,捕捉参数设置,网络连接,逻辑分析核插入完毕后,应该重新实现该设计,并生成Bitstream文件,ChipScope Pro Analyzer的使用,连接好JTAG电缆,配置好FPGA 打开ChipScope Pro Analyzer 点击 按钮,检测器件 从File-import中导入逻辑分析核的参数 设置总线或者信号组合 设置匹配单元、触发条件以及捕捉参数 点击开始按钮,开始捕捉数据 当数据缓冲区满后,数据会自动在主窗口中现实 也可以按停止按钮,提前中止数据捕捉 采集到的数据可以在File - Export中导出保存,以供事后分析,总线的设置方法,匹配单元,片内逻辑分析仪中可以定义若干匹配单元 每个匹配函数包括三个或四个部分: 触发端口

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论