电路板制板设计·.ppt_第1页
电路板制板设计·.ppt_第2页
电路板制板设计·.ppt_第3页
电路板制板设计·.ppt_第4页
电路板制板设计·.ppt_第5页
已阅读5页,还剩238页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

可靠性设计介绍,2006年8月18日,质量是企业的生命! 质量是设计出来的! 可靠性设计是保证质量的前提!,内容安排,1 可靠性基础理论简介 2 元器件的可靠性及其选择 3 系统数据传输的可靠性设计 4 印制电路板的可靠性设计 5 电磁兼容设计 6 软件和结构的可靠性设计,4 印制电路板的可靠性设计,PCB设计是一项混合技术。要求设计者对电路原理、电磁场、布线算法、生产加工、测试等各方面知识都要有所了解,所以一名优秀的PCB工程师应当注意学习这些知识。 目前电子器材用于各类电子设备和系统仍然以印制电路板为主要装配方式。即使电路原理图设计正确,印制电路板设计不当,也会对电子设备的可靠性产生不利影响。例如,如果印制板两条细平行线靠得很近,则会形成信号波形的延迟,在传输线的终端形成反射噪声。因此,在设计印制电路板的时候,应注意采用正确的方法。,4 印制电路板的可靠性设计,1. PCB基本概念 2. PCB可靠性布局 3. PCB布线 4.高速信号布线的可靠性 5.地和电源策略 6. PCB热设计 7. PCB的EMC设计 8.印制电路板工艺设计规范 9.信号完整性分析 10.PCB加工流程及说明,4.1 PCB基本概念,4.1.1.名词解释 1. PCB(Print circuit Board):印刷电路板。 2.原理图:电路原理图,用原理图设计工具绘制的、表达硬件电路中各种器件之间的连接关系的图。 3.网络表:由原理图设计工具自动生成的、表达元器件电气连接关系的文本文件,一般包含元器件封装、网络列表和属性定义等组成部分。,4.1.1名词解释-1,4.布局:PCB设计过程中,按照设计要求,把元器件放置到板上的过程。 5.仿真:在器件的IBIS MODEL或SPICE MODEL支持下,利用EDA设计工具对PCB的布局、布线效果进行仿真分析,从而在单板的物理实现之前发现设计中存在的EMC问题、时序问题和信号完整性问题,并找出适当的解决方案。 6.过孔(Via) :为连通各层之间的线路,在各层需要连通的导线的交汇处钻上一个公共孔,这就是过孔。工艺上在过孔的孔壁圆柱面上用化学沉积的方法镀上一层金属。有通孔、盲孔与埋孔之分。,4.1.1名词解释-2,7.丝印层(Overlay) :为方便电路的安装和维修等,在印刷板的上下两表面印刷上所需要的标志图案和文字代号等,例如元件标号和标称值、元件外廓形状和厂家标志、生产日期等等。不少初学者设计丝印层的有关内容时,只注意文字符号放置得整齐美观,忽略了实际制出的PCB效果。他们设计的印板上,字符不是被元件挡住就是侵入了助焊区域被抹赊,还有的把元件标号打在相邻元件上,如此种种的设计都将会给装配和维修带来很大不便。,4.1.1名词解释-3,8.焊盘( Pad):选择元件的焊盘类型要综合考虑该元件的形状、大小、布置形式、振动和受热情况、受力方向等因素。Protel在封装库中给出了一系列不同大小和形状的焊盘,如圆、方、八角、圆方和定位用焊盘等,但有时这还不够用,需要自己编辑。例如,对发热且受力较大、电流较大的焊盘,可自行设计成“泪滴状” 。各元件焊盘孔的大小要按元件引脚粗细分别编辑确定,原则是孔的尺寸比引脚直径大02-04毫米。,4.1.1名词解释-4,9.各类膜(Mask) :按“膜”所处的位置及其作用,“膜”可分为元件面(或焊接面)助焊膜(TOp or Bottom 和元件面(或焊接面)阻焊膜(TOp or BottomPaste Mask)两类。 顾名思义,助焊膜是涂于焊盘上,提高可焊性能的一层膜,也就是在绿色板子上比焊盘略大的各浅色圆斑。阻焊膜的情况正好相反,为了使制成的板子适应波峰焊等焊接形式,要求板子上非焊盘处的铜箔不能粘锡,因此在焊盘以外的各部位都要涂覆一层涂料,用于阻止这些部位上锡。可见,这两种膜是一种互补关系。这些膜不仅是PcB制作工艺过程中必不可少的,而且更是元件焊装的必要条件。,4.1.2 PCB设计流程,4.1.2.1资料准备 1.经过评审的,完全正确的原理图,包括纸面文件和电子文件; 2. PCB结构要求,包括外形尺寸、安装孔内外径尺寸及定位尺寸、接插件定位尺寸、禁止布线区等相关尺寸; 3. 根据安装尺寸、空间要求等选择合适器件,包括芯片、插座、开关等等; 4.所有器件的封装资料;,4.1.2.2理解设计要求,1. 仔细审读原理图,理解电路的工作条件。如模拟电路的工作频率,数字电路的工作速度等与布线要求相关的要素。理解电路的基本功能、在系统中的作用等相关问题。 2. 确认板上的关键网络,如电源、时钟、高速总线等,了解其布线要求。理解板上的高速器件及其布线要求。对原理图进行规范性审查。,4.1.2.3原理图设计规范,一般规则和要求 按标准化提供的统一标准图纸格式(格式3幅面3)绘制电路图,原则上幅面小于3,可以根据工程化(模块化)设计成多幅电路图。 应根据该产品的电工作原理,各元器件自左到右,自上而下的排成一列或数列。 图面安排时,输入端在左方,输出在右方。 图中可动元件(如继电器)的工作状态,原则上处于开断、不加电的工作状态。 将所有芯片的电源和地引脚全部利用。,4.1.2.3原理图设计规范,信号完整性及电磁兼容性考虑 对输入输出的信号要加相应的滤波/吸收器件;必要时加硅瞬变电压吸收二极管或压敏电阻 在高频信号输出端串电阻。 高频区的退耦电容要选低ESR的电解电容或钽电容 退耦电容容值确定时在满足纹波要求的条件下选择更小容值的电容,以提高其谐振频率点。 各芯片的电源都要加退耦电容,同一芯片中各模块的电源要分别加退耦电容;如为高频则须在靠电源端加磁珠电感。 对类似锁相环电路进行单独供电处理。,4.1.2.3原理图设计规范,不同电压的网络名称就是电压值如3.3V; 原理图上各器件名称要符合标准化提供的项目种类的字母代码表 ; 原理图上各器件形状、表示等符合标准化规定(建库时注意) 在印制板绘制后期,印制板和原理图中的修改要实时对应。,4.1.3设计过程,1.原理图中确定器件的封装。 2.创建网络表 应根据原理图设计工具的特性,排除原理图设计错误。保证网络表的正确性和完整性。 3.布局:重要的单元电路、核心元器件应当优先布局 4.设置布线约束条件。 5. 后仿真及设计优化。 6. 工艺设计要求。 7. 设计评审。,4.1.4 PCB元器件库的制作,严格按照元器件厂家提供的数据设计元器件库,必须排除累计误差。 元器件必须绘制实际外形,防止在印制板上可能出现错误的器件重叠放置。 元器件的引脚焊盘过孔内径提供孔化后 孔径,同时在向PCB厂家提供PCB设计文件时必须注明“所有孔径为孔化后孔径。,4.2 PCB可靠性布局,在设计中,布局是一个重要的环节。布局结果的好坏将直接影响布线的效果,因此可以这样认为,合理的布局是PCB设计成功的第一步。,4.2.1设置板框尺寸,尽量采用公制尺寸,方便与结构设计的协调统一。 根据结构图设置板框尺寸,按结构要素布置安装孔等,并给这些器件赋予不可移动属性。按工艺设计规范的要求进行尺寸标注。 标注印制板厚度。,4.2.2设置禁止布线区,根据结构图和生产加工时所须的夹持边设置印制板的禁止布线区、禁止布局区域。根据某些元件和结构安装的特殊要求,设置禁止布线区。 在安装孔旁边根据需要设置禁止布线区。,4.2.3布局操作的基本原则 1,A. 按照印制板使用要求,首先确定与其他设备连接的插座放置位置; B.遵照“先大后小,先难后易”的布置原则,即重要的单元电路、核心元器件应当优先布局按照电路的流程安排各个功能电路单元的位置,使布局便于信号流通,并使信号尽可能保持一致的方向。,4.2.3布局操作的基本原则-2,C. 布局应尽量满足以下要求:总的连线尽可能短,关键信号线最短;高电压、大电流信号与小电流,低电压的弱信号完全分开;模拟信号与数字信号分开;高频信号与低频信号分开;高频元器件的间隔要充分在高频下工作的电路,要考虑元器件之间的分布参数。尽可能缩短高频元器件之间的连线,设法减少它们的分布参数和相互间的电磁干扰。易受干扰的元器件不能相互挨得太近,输入和输出元件应尽量远离。 D. 相同结构电路部分,尽可能采用“对称式”标准布局;一般电路应尽可能使元器件平行排列。,4.2.3布局操作的基本原则-3,E. 按照均匀分布、重心平衡、版面美观的标准优化布局; F. 器件布局栅格的设置,一般IC器件布局时,栅格应为50-100 mil,小型表面安装器件, 如表面贴装元件布局时,栅格设置应不少于25mil。 G. PCB板上根据需要在一对边留有足够的传送带位置空间,即工艺边。PCB板加工时,通常用较长的对边作为工艺边,留给设备的传送带用,在传送带的范围内不能有元器件和引线干涉,否则会影响PCB板的正常传送。工艺边的宽度不小于5mm。,4.2.3布局操作的基本原则-4,H.某些元器件或导线之间可能有较高的电位差,应加大它们之间的距离,以免放电引出意外短路。带高电压的元器件应尽量布置在调试时手不易触及的地方。 I.重量超过15g的元器件,应当用支架加以固定,然后焊接。那些又大又重、发热量多的元器件,不宜装在印制板上,而应装在整机的机箱底板上,且应考虑散热问题。热敏元件应远离发热元件。,4.2.3布局操作的基本原则-5,J.对于电位器、可调电感线圈、可变电容器、微动开关等可调元件的布局应考虑整机的结构要求。若是机内调节,应放在印制板上方便调节的地方;若是机外调节,其位置要与调节旋钮在机箱面板上的位置相适应。 K.应留出印制板定位孔及固定支架所占用的位置。,4.2.3布局操作的基本原则-6,l.考虑高大元器件安装方式(侧躺)需要占用的空间。 m. 考虑内部接插件与相应电缆等对接后需要占用的空间。 n. 考虑是否需要预留相应散热片安装空间。,4.2.4其它原则-1,1.同类型插装元器件在X或Y方向上应朝一个方向放置。同一种类型的有极性分立元件也要力争在X或Y方向上保持一致,便于生产和检验。 2.发热元件要一般应均匀分布,以利于单板和整机的散热,除温度检测元件以外的温度敏感器件应远离发热量大的元器件。,4.2.4其它原则-2,3.元器件的排列要便于调试和维修,亦即小元件周围不能放置大元件、需调试的元、器件周围要有足够的空间。 4. 需用波峰焊工艺生产的单板,其紧固件安装孔和定位孔都应为非金属化孔。当安装孔需要接地时, 应采用分布接地小孔的方式与地平面连接。,4.2.4其它原则-3,5.焊接面的贴装元件采用波峰焊接生产工艺时,阻、容件轴向要与波峰焊传送方向垂直,阻排及SOP(PIN间距大于等于1.27mm)元器件轴向与传送方向平行;PIN间距小于1.27mm(50mil)的IC、SOJ、PLCC、QFP等有源元件避免用波峰焊焊接。,4.2.4其它原则-4,6. BGA与相邻元件的距离5mm。其它贴片元件相互间的距离0.7mm;贴装元件焊盘的外侧与相邻插装元件的外侧距离大于2mm;有压接件的PCB,压接的接插件周围5mm内不能有插装元、器件,在焊接面其周围5mm内也不能有贴装元、器件。,4.2.4其它原则-5,7. IC去偶电容的布局要尽量靠近IC的电源管脚,并使之与电源和地之间形成的回路最短。 8.元件布局时,应适当考虑使用同一种电源的器件尽量放在一起, 以便于将来的电源分隔。,4.2.4其它原则-6,9.用于阻抗匹配目的阻容器件的布局,要根据其属性合理布置。 串联匹配电阻的布局要靠近该信号的驱动端,距离一般不超过500mil。 匹配电阻、电容的布局一定要分清信号的源端与终端,对于多负载的终端匹配一定要在信号的最远端匹配。,4.2.4其它原则-7,10.布局完成后打印出装配图供原理图设计者检查器件封装的正确性,并且确认单板、背板和接插件的信号对应关系,经确认无误后方可开始布线。,4.2.4其它原则-8,尽可能参考评估板进行布局设计。 要求模拟与数字空间隔离;接口模块与主控模块空间隔离;输入和输出隔离。 高频滤波电容必须靠近器件的电源/地引脚 放置元件时要考虑结构所允许的元件最高尺寸 一般靠近边框4mm内不允许放置元件。 SMT与SMT零件间距0.5mm以上 SMT与DIP零件间距0.5mm以上 一般螺丝孔/定位孔的半径6mm内不可放置任何元件,4.2.4其它原则-9,跳线器或SOCKET的放置要考虑其易操做,不可放于高器件(如SLOT)之间 元器件放置要考虑散热:主发热元件靠近出风口,大体积元件的放置避开风路 BGA封装的元器件的放置要避免于PCB正中间等易变形区 元器件排列尽可能整齐(左右对齐);极性方向力求相同。 排列在一起的小元件(电阻、电容、电感、二极管等),其标号尽可能连续。,4.2.5不同封装芯片工艺要求-1,1. QFP、PLCC 四边引线封装,不能采用波峰焊接工艺。若要在焊接面进行二次回流焊接工艺,其每平方英寸焊角接触面的承重量应小于等于30克的要求。 2. BGA等面阵列器件 BGA等面阵列器件应用越来越多,一般常用的是1.27mm,1.0mm和0.8mm球间距器件。BGA等面阵列器件布局主要考虑其维修性,由于BGA返修台的热风罩所需空间限制,BGA周围3mm范围内不能有其它元器件。BGA等面阵列器件不能采用波峰焊接工艺。,4.2.5不同封装芯片工艺要求-2,3. SOIC器件 小外形封装的器件有多种形式,有SO、SOP、SSOP、TSOP等,其共同特点都是对边引线封装。此类器件适合回流焊接工艺,布局设计要求与QFP器件相同。引线间距1.27mm(50mil)、器件托起高度(Standoff)0.15mm的SOIC器件可以采用波峰焊接工艺,但是要注意SOIC器件与波峰的相对方向。,4.2.5不同封装芯片工艺要求-3,光学点的放置 光学点用于SMT器件焊接定位用,为直径40mil的无孔无阻焊层焊盘。 PCB板一面至少需二个以上的光学点,且须对角放置; BGA封装的器件及引脚数多于100(含100)条的其他封装器件,其对角线必须放置一对光学点。,4.2.5不同封装芯片工艺要求-3,光学点的放置 光学点应放置在器件外围5mm以内。 光学点周围3mm之内不可放置任何元件,4.2.6混合信号PCB的分区设计 -1,4.2.6.1降低数字信号和模拟信号间的相互干扰的电磁兼容(EMC)两个基本原则:第一个原则是尽可能减小电流环路的面积;第二个原则是系统只采用一个参考面。 4.2.6.2了解电流回流到地的路径和方式是优化混合信号电路板设计的关键。如果必须对地线层进行分割,而且必须通过分割之间的间隙布线,可以先在被分割的地之间进行单点连接,形成两个地之间的连接桥,然后通过该连接桥布线。这样,在每一个信号线的下方都能够提供一个直接的电流回流路径,从而使形成的环路面积很小。,4.2.6混合信号PCB的分区设计-2,混合信号PCB设计要注意以下几点: 1.将PCB分区为独立的模拟部分和数字部分。 2.合适的元器件布局。 3.A/D转换器跨分区放置。 4.不要对地进行分割。在电路板的模拟部分和数字部分下面 敷设统一地。 5.在电路板的所有层中数字信号只能在电路板的数字部分布线。 6.在电路板的所有层中模拟信号只能在电路板的模拟部分布线。 7.实现模拟和数字电源分割。 8.布线不能跨越分割电源面之间的间隙。 9.必须跨越分割电源之间间隙的信号线要位于紧邻大面积地的布线层上。 10.分析返回地电流实际流过的路径和方式。,4.2.6混合信号PCB的分区设计-3,(1)同一级电路的接地点应尽量靠近,并且本级电路的电源滤波电容也应接在该级接地点上。特别是本级晶体管基极、发射极的接地点不能离得太远,否则因两个接地点间的铜箔太长会引起干扰与自激,采用这样“一点接地法”的电路,工作较稳定,不易自激。 (2)总地线必须严格按高频中频低频一级级地按弱电到强电的顺序排列原则,切不可随便翻来复去乱接,级与级间宁肯可接线长点,也要遵守这一规定。特别是变频头、再生头、调频头的接地线安排要求更为严格,如有不当就会产生自激以致无法工作。 调频头等高频电路常采用大面积包围式地线,以保证有良好的屏蔽效果。,4.2.6混合信号PCB的分区设计-4,(3)强电流引线(公共地线,功放电源引线等)应尽可能宽些,以降低布线电阻及其电压降,可减小寄生耦合而产生的自激。 (4)阻抗高的走线尽量短,阻抗低的走线可长一些,因为阻抗高的走线容易发笛和吸收信号,引起电路不稳定。电源线、地线、无反馈元件的基极走线、发射极引线等均属低阻抗走线,射极跟随器的基极走线、收录机两个声道的地线必须分开,各自成一路,一直到功效末端再合起来,如两路地线连来连去,极易产生串音,使分离度下降。,4.2.7高密度(HD)电路的问题,密间距的、和元件要求非常均匀和平整的表面涂层。为了保证平整度,许多公司在铜箔上使用镍合金,接着一层很薄的金合金涂层,来去掉氧化物。对于细导线、高元件密度或密间距技术与,平整的外形是必须的。焊盘表面涂层可以是电镀的或涂敷的,但必须考虑装配工艺与经济性。,4.2.8印刷线路元件布局结构设计讨论-1,印刷电路板的设计,从确定板的尺寸大小开始,印刷电路板的尺寸因受机箱外壳大小限制,以能恰好安放入外壳内为宜,其次,应考虑印刷电路板与外接元器件(主要是电位器、插口或另外印刷电路板)的连接方式。印刷电路板与外接元件一般是通过塑料导线或金属隔离线进行连接。但有时也设计成插座形式。即:在设备内安装一个插入式印刷电路板要留出充当插口的接触位置。 对于安装在印刷电路板上的较大的元件,要加金属附件固定,以提高耐振、耐冲击性能。,4.2.8印刷线路元件布局结构设计讨论-2,布线图设计的基本方法 首先需要对所选用元件器及各种插座的规格、尺寸、面积等有完全的了解;对各部件的位置安排作合理的、仔细的考虑,主要是从电磁场兼容性、抗干扰的角度,走线短,交叉少,电源,地的路径及去耦等方面考虑。,4.2.9布局检查,印制板尺寸是否与加工图纸尺寸相符?能否符合PCB制造工艺要求?有无定位标记? 元件在二维、三维空间上有无冲突? 元件布局是否疏密有序,排列整齐?是否全部布完? 需经常更换的元件能否方便的更换?插件板插入设备是否方便? 热敏元件与发热元件之间是否有适当的距离? 调整可调元件是否方便? 在需要散热的地方,装了散热器没有?空气流是否通畅? 信号流程是否顺畅且互连最短? 插头、插座等与机械设计是否矛盾? 线路的干扰问题是否有所考虑?,4.3布线,4.3.1布线层设置 根据各种相应设计规范,设定印制板所需要的层数。 根据局部信号所必需占用的层数来确定印制板所需要的层数。,4.3.1布线层设置,布局基本确定后,也可以应用PCB设计工具的统计功能,报告网络数量,网络密度,平均管脚密度等基本参数,以便确定所需要的信号布线层数。下面是些可参考的经验数据。,PIN密度的定义为: 板面积(平方英寸)/(板上管脚总数/14) 布线层数的具体确定还要考虑单板的可靠性要求,信号的工作速度,制造成本和交货期等因素。,4.3.1布线层设置,在高速数字电路设计中,电源与地层应尽量靠在一起,中间不安排布线。所有布线层都尽量靠近一平面层,优选地平面为走线隔离层。 为了减少层间信号的电磁干扰,相邻布线层的信号线走向应取垂直方向。 可以根据需要设计1-2个阻抗控制层,如果需要更多的阻抗控制层需要与PCB产家协商。 阻抗控制层要按要求标注清楚。将单板上有阻抗控制要求的网络布线分布在阻抗控制层上。,4.3.1布线层设置,层设置示例:,表面层覆铜间隔要大,内部覆铜间隔可小,不然容易引起焊接时短路。,4.3.2线宽和线间距的设置,线宽和线间距的设置要考虑的因素 A. 单板的密度。板的密度越高,倾向于使用更细的线宽和更窄的间隙。 B. 信号的电流强度。当信号的平均电流较大时,应考虑布线宽度所能承载的的电流, 线宽可参考以下数据: PCB设计时铜箔厚度,走线宽度和电流的关系 不同厚度,不同宽度的铜箔的载流量见下表:,铜皮厚度35um 50um 70um,注: 1.用铜皮作导线通过大电流时,铜箔宽度的载流量应参考表中的数值降额50%去选择考虑。 2. 在PCB设计加工中,常用OZ(盎司)作为铜皮厚度的单位,1 OZ铜厚的定义为1 平方英尺面积内铜箔的重量为一盎,对应的物理厚度为35um;2OZ铜厚为70um。,4.3.2.2线间距的设置应考虑其介电强度,输入150V-300V电源最小空气间隙及爬电距离,输入300V-600V电源最小空气间隙及爬电距离,4.3.2.3标准对线宽和线间距的要求,各种标准规范对布线的线宽、线间距和铜箔厚度等可能都有要求。 标准对信号线的单端、差分阻抗有要求,从而影响线宽、线间距和铜箔厚度。,4.3.2.4其它要求,可靠性要求高时,倾向于使用较宽的布线和较大的间距。 PCB加工技术限制: 国内 国际先进水平 推荐使用最 6mil/6mil 4mil/4mil 小线宽/间距 极限最小 4mil/6mil 2mil/2mil 线宽/间距 注:具体需要讯问有关厂家。,4.3.3孔的设置,4.3.3.1 过线孔 制成板的最小孔径定义取决于板厚度,板厚孔径比应小于5-8。 孔径优选系列如下: 孔径: 24mil 20mil 16mil 12mil 8mil 焊盘直径: 40mil 35mil 28mil 25mil 20mil 内层热焊盘 尺寸: 50mil 45mil 40mil 35mil 30mil,4.3.3.2板厚度与最小孔径的关系,板厚: 3.0mm 2.5mm 2.0mm 1.6mm 1.0mm 最小孔径: 24mil 20mil 16mil 12mil 8mil,4.3.3.3盲孔和埋孔,盲孔是连接表层和内层而不贯通整板的导通孔,埋孔是连接内层之间而在成品板表层不可见的导通孔,这两类过孔尺寸设置可参考过线孔。 应用盲孔和埋孔设计时应对PCB加工流程有充分的认识,避免给PCB加工带来不必要的问题,必要时要与PCB供应商协商。,4.3.3.4测试孔,测试孔是指用于ICT测试目的的过孔,可以兼做导通孔,原则上孔径不限,焊盘直径应不小于25mil,测试孔之间中心距不小于50mil。 不推荐用元件焊接孔作为测试孔。,4.3.3.5 特殊的孔,对于印制板的各种孔,可能有些特殊的要求。 例如: 对于cPCI压接的插座:镀锡的孔内直径为0.6毫米时要求是外直径至少为1毫米;而镀镍/金的孔内直径为0.6毫米时要求外直径至少为0.9毫米。,4.3.4特殊布线区间的设定,特殊布线区间是指单板上某些特殊区域需要用到不同于一般设置的布线参数,如某些高密度器件需要用到较细的线宽、较小的间距和较小的过孔等,或某些网络的布线参数的调整等,需要在布线前加以确认和设置。,4.3.5定义和分割平面层,A. 平面层一般用于电路的电源和地层(参考层),由于电路中可能用到不同的电源和地层,需要对电源层和地层进行分隔,其分隔宽度要考虑不同电源之间的电位差,电位差大于12V时,分隔宽度为50mil,反之,可选20-25mil 。 B. 平面分隔要考虑高速信号回流路径的完整性。 C. 当由于高速信号的回流路径遭到破坏时,应当在其他布线层给予补偿。例如可用接地的铜箔将该信号网络包围,以提供信号的地回路。,4.3.5定义和分割平面层,在多层印制板中间同一层分布多个电源和地,其实最好的处理方式不是分割电源层,而是在一个中间层(不是内部电源层)进行各种电源覆铜。因为如果分割电源层且板的布线间隔设置的小,最后成品印制板内部基本上都会在此层不同分割区间留下细的电源线,达不到分隔间距大于20mil等,而且可能会出现天线效应等。,4.3.5.1 PCB分层考虑,在有类似的评估板参考时,按照评估板进行。 在多层板时,建议: 顶层(正面)、底层(反面):敏感信号线 第二层、倒数第二层:地电源层 没有电源/地平面隔离的两个信号层的信号走向尽可能的垂直。,4.3.6丝印层,元件编号大小一般为:高50mil,线宽8mil 应该在相应元器件旁边,并避开过孔及影响视线的元件 在不增加很大工作量的情况下,当Layout结束后要尽量按顺序(如由左而右,由上而下)对元件编号重排序,并更新到原理图 各元器件的丝印层标注(标号,及可能标注的值或型号)必须清晰易懂。,4.3.7 PCB布线过程,1.布线前仿真 2.布线 3.后仿真及设计优化,4.3.7.1布线前仿真,目前简单的方法是通过自动布线检查、预计布线结果,这样做是远远不够的。在PCB做板之前分析验证板级信号完整性(SI)问题,是设计成功的关键。 需要用于SI分析的包含各种参数的准确模型。大多数SI分析工具都可将PCB板作为板材料和布线几何形状的函数进行分析计算,但是得到一个能够反映板上元件、连接器、电缆等器件的好的模型却相对较难,IBIS模型可以帮助设计者在存在SI约束的设计中获取准确的信息以进行分析和计算。,4.3.7.2 IBIS模型,IBIS(Input/Output Buffer Information Specification)模型是一种基于V/I曲线的对I/O BUFFER快速准确建模的方法,是反映芯片驱动和接收电气特性的一种国际标准,它提供一种标准的文件格式来记录如驱动源输出阻抗、上升/下降时间及输入负载等参数,非常适合做振铃和串扰等高频效应的计算与仿真。 现在已有多家半导体器件生产厂家及CAE/EDA公司支持此IBIS规范,提供不同器件的IBIS模型及软件仿真工具,如Mentor Graphics公司既提供使用IBIS模型的仿真工具Interconnect Synthesis,同时提供Zeelan 的IBIS的仿真模型库,另外还可根据用户的特殊需求定制相应器件的IBIS模型。,4.3.7.3 IBIS的仿真准备,IBIS本身只是一种文件格式,它说明在一标准的IBIS文件中如何记录一个芯片的驱动器和接收器的不同参数,但并不说明这些被记录的参数如何使用,这些参数需要由使用IBIS模型的仿真工具来读取。欲使用IBIS进行实际的仿真,需要先完成以下四件工作: (1)获取有关芯片驱动器和接收器的原始信息源; (2)获取一种将原始数据转换为IBIS格式的方法; (3)提供用于仿真的可被计算机识别的布局布线信息; (4)提供一种能够读取IBIS和布局布线格式并能够进 行分析计算的软件工具。,4.3.7.4 IBIS的文件格式,IBIS是一种简单直观的文件格式 ,它提供驱动器和接收器的行为描述,但不泄漏电路内部构造的知识产权细节。 IBIS提供两条完整的VI曲线分别代表驱动器为高电平和低电平状态,以及在确定的转换速度下状态转换的曲线。VI曲线的作用在于为IBIS提供保护二极管、TTL图腾柱驱动源和射极跟随输出等非线性效应的建模能力。,4.3.7.5 IBIS模型的优点,在I/O非线性方面能够提供准确的模型,同时考虑了封装的寄生参数与ESD结构; 提供比结构化的方法更快的仿真速度; 可用于系统板级或多板信号完整性分析仿真。可用IBIS模型分析的信号完整性问题包括:串扰、反 射、振铃、上冲、下冲、不匹配阻抗、传输线分析、拓扑结构分析。IBIS尤其能够对高速振铃和串扰进行准确精细的仿真,它可用于检测最坏情况的上升时间条件下的信号行为及一些用物理测试无法解决的情况; 模型可以免费从半导体厂商处获取,用户无需对模型付额外开销; 兼容工业界广泛的仿真平台。,4.3.7.6 IBIS的缺点,许多芯片厂商缺乏对IBIS模型的支持。而缺乏IBIS模型,IBIS工具就无法工作。虽然IBIS文件可以手工创建或通过Spice模型自动转换,但是如果无法从厂家得到最小上升时间参数,任何转换工具都无能为力; IBIS不能理想地处理上升时间受控的驱动器类型的电路,特别是那些包含复杂反馈的电路; IBIS缺乏对地弹噪声的建模能力。IBIS模型2.1版包含了描述不同管脚组合的互感,从这里可以提取 一些非常有用的地弹信息。它不工作的原因在于建模方式,当输出由高电平向低电平跳变时,大的地弹电压可以改变输出驱动器的行为。,4.3.7.7 主要的IBIS实用工具,IBISCHK,是IBIS模型的语法分析器,用来检查IBIS模型的语法错误; S2iplt,此工具可以以图形方式显示IBIS模型的V/I曲线,它是属于UNIX版本的; S2IBIS,此工具可以将现有的HSPICE、PSPICE或SPICE3模型转换为IBIS模型; Visual IBIS Editor,是Hyperlynx公司开发的基于Windows平台的IBIS模型编辑、语法检查及V/I 曲线显示工具。,4.3.8布线,布线优先次序 关键信号线优先:电源、摸拟小信号、高速信号、时钟信号和同步信号等关键信号优先布线; 密度优先原则:从单板上连接关系最复杂的器件着手布线。从单板上连线最密集的区域开始布线。,4.3.8.1自动布线,在布线质量满足设计要求的情况下,可使用自动布线器以提高工作效率,在自动布线前应完成以下准备工作: 为了更好地控制布线质量,一般在运行前要详细定义布线规则,这些规则可以在软件的图形界面内进行定义,但软件提供了更好的控制方法,即针对设计情况,写出自动布线控制文件(do file),软件在该文件控制下运行。,4.3.8.2关键信号的布线,尽量为时钟信号、高频信号、敏感信号等关键信号提供专门的布线层,并保证其最小的回路面积。必要时应采取手工优先布线、屏蔽和加大安全间距等方法。保证信号质量。 电源层和地层之间的EMC环境较差,应避免布置对干扰敏感的信号。 有阻抗控制要求的网络应布置在阻抗控制层上。,4.3.8.3 PCB设计规则,1) 地线回路规则: 环路最小规则,即信号线与其回路构成的环面积要尽可能小,环面积越小,对外的辐射越少,接收外界的干扰也越小。针对这一规则,在地平面分割时,要考虑到地平面与重要信号走线的分布,防止由于地平面开槽等带来的问题;在双层板设计中,在为电源留下足够空间的情况下,应该将留下的部分用参考地填充,且增加一些必要的孔,将双面地信号有效连接起来,对一些关键信号尽量采用地线隔离,对一些频率较高的设计,需特别考虑其地平面信号回路问题,建议采用多层板。,4.3.8.4串扰控制,串扰(CrossTalk)是指PCB上不同网络之间因较长的平行布线引起的相互干扰,主要是由于平行线间的分布电容和分布电感的作用。克服串扰的主要措施是: 加大平行布线的间距,遵循3W规则。 在平行线间插入接地的隔离线。 减小布线层与地平面的距离。,4.3.8.5屏蔽保护,对应地线回路规则,实际上也是为了尽量减小信号的回路面积,多见于一些比较重要的信号,如时钟信号,同步信号;对一些特别重要,频率特别高的信号,应该考虑采用铜轴电缆屏蔽结构设计,即将所布的线上下左右用地线隔离,而且还要考虑好如何 有效的让屏蔽地与实 际地平面有效结合。,4.3.8.6走线的方向控制规则,即相邻层的走线方向成正交结构。避免将不同的信号线在相邻层走成同一方向,以减少不必要的层间串扰;当由于板结构限制(如某些背板)难以避免出现该情况,特别是信号 速率较高时,应考 虑用地平面隔离各 布线层,用地信号 线隔离各信号线。,4.3.8.7走线的开环检查规则,一般不允许出现一端浮空的布线(Dangling Line),主要是为了避免产生“天线效应”,减少不必要的干扰辐射和接收,否则可能带来不可预知的结果。,4.3.8.8阻抗匹配检查规则,同一网络的布线宽度应保持一致,线宽的变化会造成线路特性阻抗的不均匀,当传输的速度较高时会产生反射,在设计中应该尽量避免这种情况。在某些条件下,如接插件引出线,BGA封装的引出线类似的结构时,可能无法避免线宽的变化,应该尽量减少中间不一致部分的有效长度。,4.3.8.9走线终端网络规则,在高速数字电路中,当PCB布线的延迟时间大于信号上升时间(或下降时间)的1/4时,该布线即可以看成传输线,为了保证信号的输入和输出阻抗与传输线的阻抗正确匹配,可以采用多种形式的匹配方法,所选择的匹配方法与网络的连接方式和布线的拓朴结构有关。,4.3.8.10 通用走线规则,关键信号线优先:电源、摸拟小信号、高速信号、时钟信号和同步信号等关键信号优先布线;尽量为时钟信号、高频信号、敏感信号等关键信号提供专门的布线层,并保证其最小的回路面积。必要时应采取手工优先布线、屏蔽和加大安全间距等方法。保证信号质量。 密度优先原则:从单板上连接关系最复杂的器件着手布线。从单板上连线最密集的区域开始布线。,通用走线规则,线改向时只走钝角或圆弧。 线从过孔、焊盘引出时要加泪滴。 过孔避免紧排成线状,以防意外分割电源地层。 高频及低电平模拟信号线要走靠地层; 模拟信号的高低电平信号线要分别走在电源或地层的两侧; 螺丝孔或定位孔周围0.4mm内不可走线 振荡器和散热片铜箔内不可走线。,通用走线规则,电源层和地层之间的EMC环境较差,应避免布置对干扰敏感的信号。 有阻抗控制要求的网络应布置在阻抗控制层上。,4.3.8.11电源滤波,电源引入处必须考虑低频和高频的滤波。 低频滤波电容均匀分布在PCB上,每个大功率器件应安装一个16uF以上的电解电容或钽电容;并由其所放位置处负载的特性及纹波要求确定适当的容值、ESR和ESL。 元器件的每个(组)电源/地均应安装至少一个高频滤波电容。 当元器件或模组的工作频率较高时要在相应的高频滤波电容靠系统电源端加电感或磁磁珠 高频滤波电容必须靠近器件的电源/地引脚。,4.3.8.12高频时钟,高频时钟(20MHz以上的时钟,或上升沿少于5ns的时钟)必须有地线护送。 时钟的线宽至少10mil,护送地线的线宽至少20mil。高频信号线的保护地线两端必需由过孔与地层相连,且每5cm左右要打过孔与地层相连。 时钟发送侧必须串接一个22220欧姆左右的阻尼电阻。 地线护送与数据线基本等长,在发送侧加阻尼电阻不走直角手工布线; 建议采用点对点连接或采用星型连接;采用T型连接时要保证等臂长;尽量减少过孔(Via)数量。,4.3.8.13差分信号,差分信号要求在同一层上且尽可能的靠近平行走线,差分信号之间不允许插入任何信号。并要求等长。,4.3.8.14高频数字总线,频率在50MHz以上的高频数字总线,应尽可能考虑总线中的每条信号线均串接一个22-300欧姆左右的阻尼电阻,频率在75MHz以上时,必须串接阻尼电阻。阻尼电阻必须放在发送侧并尽可能靠近发送器件。,4.3.8.15走线要回避的敏感位置,高频线要远离输入输出口(input/output port)1/10 英寸以上,如实际限制则采用地或电源线屛蔽(shielded) ; 高频线要远离晶振(crystal)和时钟芯片。,4.3.8.16大功率器件,大功率器件的安装面敷铜,隔离器件和PCB的布线。,4.3.8.16其它-1,印制导线拐弯处一般取圆弧形,而直角或夹角在高频电路中会影响电气性能。走线要避免小于90。 避免集中打过孔,防止地电平面的不完整。 所有高频信号线应该全部手工布线。,4.3.8.16其它-2,输入输出端用的导线应尽量避免相邻平行。最好加线间地线,以免发生反馈藕合。 印制板导线的最小宽度主要由导线与绝缘基板间的粘附强度和流过它们的电流值决定。当铜箔厚度为0.5mm、宽度为11.5mm时,通过2A的电流,温度不会高于3。因此,导线宽度为1.5mm可满足要求。 尽量避免使用大面积铜箔,否则,长时间受热时,易发生铜箔膨胀和脱落现象。必须用大面积铜箔时,最好用栅格状。这样有利于排除铜箔与基板间粘合剂受热产生的挥发性气体。 焊盘中心孔要比器件引线直径稍大一些。焊盘太大易形成虚焊。,4.3.8.17测试点,地TIP:在PCB中应均匀放置“GND Tip”,每5cm左右一个。 信号TIP:尽可能考虑重要信号,例如关键的时钟信号等的测试点。 PCB中可以适当增加一些电源VCC的PAD。 要求测试点的含义尽可能的在丝印层上标明。 测试点距板边至少100mil以上 测试点距器件引脚的PAD距离至少10mil,4.3.8.18屏蔽问题,每层四周尽可能用地线包围(地线宽度至少为电源层和地层距离的三倍),均匀打过孔,起到屏蔽作用。并避免地环;且环的开口要避开机箱的通风孔,Port口等。 元件面及焊接面尽可能用地填充,均匀打过孔,起到屏蔽作用。 有Port口的位置的地填充要单点与系统地相连;且地填充要通过螺丝孔、Port口挡板与整机外壳良好电接触。 在晶振时钟芯片下加地敷铜防止串扰。,4.3.8.19数模混合电路设计一般规范,不能跨越分割间隙布线,一旦跨越了分割间隙布线,电磁辐射和信号串扰都会急剧增加。 在PCB设计中最常见的问题就是信号线跨越分割地或电源而产生EMI问题; 如果一定要采用分割的地,则要建立“地连接桥” 将PCB分区为模拟部分和数字部分。保证数字信号返回电流不会流入到模拟信号的地。 模拟信号在电路板所有层的模拟区内布线。 数字信号在数字电路区内布线。,4.3.8.20混合信号PCB设计注意事项,1.将PCB分区为独立的模拟部分和数字部分。 2.合适的元器件布局。 3.A/D转换器跨分区放置。 4.不要对地进行分割。在电路板的模拟部分和数字部分下面敷设统一地。 5.在电路板的所有层中,数字信号只能在电路板的数字部分布线。,6.在电路板的所有层中,模拟信号只能在电路板的模拟部分布线。 7.实现模拟和数字电源分割。 8.布线不能跨越分割电源面之间的间隙。 9.必须跨越分割电源之间间隙的信号线要位于紧邻大面积地的布线层上。 10.分析返回地电流实际流过的路径和方式。 11.采用正确的布线规则,4.3.8.21 扇出设计,表面贴装器件的每一个引脚应有一个过孔,以便在需要更多的连接时,电路板能够进行内层连接、在线测试(ICT)和电路再处理。 进行扇出设计时,要考虑到电路在线测试问题。经过慎重考虑和预测,电路在线测试的设计可在设计初期进行,在生产过程后期实现,根据布线路径和电路在线测试来确定过孔扇出类型,电源和接地也会影响到布线和扇出设计。为降低滤波电容器连接线产生的感抗,过孔应尽可能靠近表面贴装器件的引脚,必须考虑过孔和引脚感抗间的关系并设定过孔规格的优先级。,4.3.8.22 布线的整理,自动布线后会发现一些约束条件很少的信号布线的长度很长。通过手动编辑可以缩短信号布线长度和减少过孔数量。在整理过程中,你需要判断出哪些布线合理,哪些布线不合理。同手动布线设计一样,自动布线设计也能在检查过程中进行整理和编辑。 在严格设计规则制定后,自动设计的电路板不比手动设计的美观,但在电子特性上能满足规定的要求,而且设计的完整性能得到保证。,4.3.9 PCB设计检查规范,1.结构尺寸检查 2.元器件库检查 3.丝印层检查 4.电源滤波、接地的检查 5.设计规程检查(DRC) 6. SI/EMI/PI仿真,4.3.9.1结构尺寸检查,检查与结构设计图纸的一致性。 总体尺寸 定位孔位置 定位孔孔径(内螺丝孔径、外垫片孔径) 接插件定位检查 1定位孔位置 2定位孔孔径,4.3.9.2元器件库检查,1封装形式是否正确 2引脚定义是否正确 3引脚间距是否正确 4器件总体尺寸是否正确,消除引脚间距的累计误差 5外形尺寸是否正确 6焊盘尺寸、过孔孔径是否正确 7丝印层标注(字体大小、方向)是否正确,4.3.9.3丝印层检查,1标号位置 应该在相应元器件旁边(不会引起误会),不会被本器件所覆盖;并避开过孔。 2字符大小是否符合规范要求,4.3.9.4电源滤波、接地的检查,检查低频、高频滤波电容数量和放置的合理性。模拟电源/数字电源,模拟地/数字地的处理是否合适。,4.3.9.5设计规程检查(DRC),按要求设置设计规程,用PCB设计工具进行自动的DRC检查,应该完全符合要求,4.3.9.6SI/EMI/PI仿真,检查整板所有信号线的阻抗特性。 检查同组数据地址控制时钟总线的时序 仿真关键信号线的SIEMISPI,优化滤波电容、阻尼电阻等终端器件的值。 必要时,仿真整板EMIPI,4.4 高速信号布线的可靠性,4.4.1 高速信号的确定 PCB 上的高速电路设计,主要是以器件和连接器件的印制线为主要分析对象的。以往所关注的就是基本的器件特性和逻辑关系。今天的电子系统设计必须严格考虑连接器件的印制线的特性。以往在器件的时钟频率不是很高、时钟的上升或下降沿变化不是很陡的情形下,我们可以用集总参数的形式来表示印制线,而当器件的时钟频率变得很高时(比如:超过50Mhz),时钟的上升或下降沿很小时(一般地在1ns 到5ns 之间),这时就不能将印制线用集总参数来表示,必须引入分布参数来表示印制线特性,这就是传输线的概念。,4.4.1.1什么是高速电路,通常认为如果数字逻辑电路的频率达到或者超过45MHZ50MHZ,而且工作在这个频率之上的电路已经占到了整个电子系统一定的份量(比如说),就称为高速电路。当系统工作在50MHz时,将产生传输线效应和信号的完整性问题;而当系统时钟达到120MHz时,除非使用高速电路设计知识,否则基于传统方法设计的PCB将无法工作。因此,高速电路设计技术已经成为电子系统设计师必须采取的设计手段。只有通过使用高速电路设计师的设计技术,才能实现设计过程的可控性。,实际上,信号边沿的谐波频率比信号本身的频率高,是信号快速变化的上升沿与下降沿引发了信号传输的非预期结果。因此,通常约定如果线传播延时大于1/2数字信号驱动端的上升时间,则认为此类信号是高速信号并产生传输线效应。 信号的传递发生在信号状态改变的瞬间,如上升或下降时间。信号从驱动端到接收端经过一段固定的时间,如果传输时间小于1/2的上升或下降时间,那么来自接收端的反射信号将在信号改变状态之前到达驱动端。反之,反射信号将在信号改变状态之后到达驱动端。如果反射信号很强,叠加的波形就有可能会改变逻辑状态。,PCB板上每单位英寸的延时为0.167ns.。但是,如果过孔多,器件管脚多,网线上设置的约束多,延时将增大。通常高速逻辑器件的信号上升时间大约为0.2ns。如果板上有GaAs芯片,则最大布线长度为7.62mm。设Tr为信号上升时间,Tpd为信号线传播延时。如果Tr4Tpd,信号落在安全区域。如果2TpdTr4Tpd,信号落在不确定区域。如果Tr2Tpd,信号落在问题区域。对于落在不确定区域及问题区域的信号,应该使用高速布线方法。,4.4.1.2 传输线的定义,关于传输线的分析是高速PCB 设计当中最基本也是最核心的部分。国际上通常对PCB 上的传输线没有确切的具体定义,现在被大家普遍接受的约定如下: 即当信号从驱动端到接收端的印制线上的延时大于等于信号上升或下降沿的1/2 时(即 Tpd=0.5Trise(Tfall) , 这时就必须将此印制线当成传输线来分析, 保守一点的定义是 Tpd=0.33Trise(Tfall) 或Tpd=0.25Trise(Tfall)。,4.4.1.3 传输线的基本类型,在PCB 上的印制线所形成的传输线基本上有两种形式,即微带线(Microstrip)和带状线(Stripline)。 PCB板上的走线可等效为下图所示的串联和并联的电容、电阻和电感结构。 PCB 上印制线的传输线等效电路为(RLCG 模型):,串联电阻的典型

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论