程控稳压电源设计.doc_第1页
程控稳压电源设计.doc_第2页
程控稳压电源设计.doc_第3页
程控稳压电源设计.doc_第4页
程控稳压电源设计.doc_第5页
已阅读5页,还剩46页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

基于fpga的程控稳压电源设计 学院名称: 专 业: 班 级: 姓 名: 指导教师姓名: 指导教师职称: 2012年 6 月 江苏技术师范学院毕业设计说明书(论文)基于fpga的程控稳压电源设计摘 要:直流稳压源是一种常见的电子仪器,其广泛应用于实验教学和科学研究等领域。本设计提出了一个基于fpga的程控稳压电源的方案。通过按键向fpga输入信号,fpga得到“十位”和“各位”计数脉冲信号,通过计数器模块计数,内部计数器的信号一路送给外部显示电路来显示当前的电压值,另一路经过d/a转换器(dac0832)输出模拟量,再经过运算放大器隔离放大,控制输出功率管的基极,随着功率管基极电压的变化而输出不同的电压,同时实现双路输出。实际测试结果表明,本系统具有易调节,高可靠性,操作方便,电压稳定度高,其输出电压采用了数字显示的特点。关键词:直流稳压电源;程控电源;fpga;vhdlithe program-controlled power supply design based on fpgaabstract:dc source is a kind of common electronic instrument, it is widely applied in the experimental teaching and scientific research. this project is to design a fpga based programmable power supply. the key to the system through the fpga input signal, fpga is ten and you counting pulse signal, the counter module count, internal counter signal path to an external display circuit to display the current voltage value, via a d/a converter ( dac0832) output analog quantity, then pass through an operational amplifier isolation amplifier, to control the output power pipe base, with the power transistor base-emitter voltage change and different voltage output, while achieving dual output. the actual test results show that, the system has easy adjustment, high reliability, convenient operation, high voltage stability, the output voltage by the digital display characteristics.keywords: dc power supply;programmable power supply; fpga; vhdl目 录前言1第1章 程控直流稳压电源设计原理21.1直流稳压电源基本原理21.2程控电源的基本原理41.3基于fpga的电源的基本原理6第2章 硬件电路设计72.1按键电路72.2显示电路72.3 dac0832转换电路82.4 fpga电路92.4.1供电电源部分92.4.2 i/o电压、内核电压供电连接部分92.4.3 时钟信号部分102.4.4 ep2c5t144芯片部分102.4.5 配置芯片部分11第3章 系统软件设计123.1系统软件整体设计123.2软件模块设计123.2.1分频器模块设计123.2.2键盘输入模块设计153.2.3 100进制计数器模块设计173.2.4 数据选择器模块设计193.3.5位码选择器模块设计203.2.6驱动共阴极数码管七段译码器模块设计213.2.6二-十进制译码器模块设计223.2.7层次化设计23第4章 系统运行与调试274.1系统运行过程274.2测试结果30结束语33参考文献34致 谢36附录1 硬件实物图37附录2 硬件电路图38附录3 元器件清单40附录4 程序代码41江苏技术师范学院毕业说明书(论文)前言电源技术尤其是数控电源技术是一门实践性很强的工程技术,被广泛的应用于电子产品生产线、实验室、工业控制和信息通讯等领域。当今电源技术融合了电气、电子、系统集成、控制理论、材料等诸多学科领域。计算机和通讯技术发展而来的现代信息技术革命,给电源技术提供了广阔的发展前景,同时也给电源提出了更高的要求1。随着科学技术飞速发展,对电源可靠性、输出精度和稳定性要求越来越高, 从传统应用中小规模芯片构造电路系统到广泛地应用单片机2,到今天dsp及fpga在系统设计中的应用,电子设计技术已迈入了一个全新阶段。fpga不仅具有容量大,逻辑功能强的特点,而且兼有高速、高可靠性。随着eda技术的发展和vlsi工艺技术的进步,特别是软/硬件ip芯核产业的迅猛发展,可编程片上系统(sopc)己经大量使用3。目前产生直流稳压电源的方法大致分为两种:一种是模拟方法,另一种是数字方法。前者的电路均采用模拟电路控制,而后者则是通过数字电路进行自动控制4。直流稳压电源朝着数字化方向发展。因此对于程控稳压电源的研究是必要的5。本文介绍了一种基于fpga的程控直流稳压电源,它以直流电压源为核心,fpga为主控制器,通过键盘来设置直流电源的输出电压,设置步进等级可达0.1v,输出电压范围为09.9v,同时实现双路输出。fpga输出信号送给d/a转换,d/a转换电路将数字量按比例,转换成模拟电压,再经过调整,从而输出稳定的直流电压6。本文共分为四章,第一章是程控直流稳压电源的原理,其中分三个小节分别对稳压电源;程控电源;基于fpga的程控稳压电源的原理做了介绍,第二章是硬件电路的设计,介绍了四个电路模块,第三章是系统的软件设计,分六个模块进行了介绍,最后一章是调试过程和数据记录表格以及结果分析。第45页 共43页第1章 程控直流稳压电源设计原理1.1直流稳压电源基本原理直流稳压电源又称直流稳压器。它的供电电压大都是交流电压,当交流供电电压的电压或输出负载电阻变化时,稳压器的直接输出电压都能保持稳定。稳压器的参数有电压稳定度、纹波系数和响应速度等。前者表示输入电压的变化对输出电压的影响。纹波系数表示在额定工作情况下,输出电压中交流分量的大小;后者表示输入电压或负载急剧变化时,电压回到正常值所需时间。直流稳压电源分连续导电式与开关式两类。前者由工频变压器把单相或三相交流电压变到适当值,然后经整流、滤波,获得不稳定的直流电源,再经稳压电路得到稳定电压(或电流)。这种电源线路简单、纹波小、相互干扰小,但体积大、耗材多,效率低(常低于40%60%)。后者以改变调整元件(或开关)的通断时间比来调节输出电压,从而达到稳压。这类电源功耗小,效率可达85%左右,但缺点是纹波大、相互干扰大。所以,80年代以来发展迅速7。直流稳压电源是先把交流电变为脉动的直流电,再通过滤波电路、稳压电路,使输出直流电压维持稳定。直流稳压电源一般包括以下几部分:(1) 电源变压器将电网供给的交流电压变换为符合整流电路需要的交流电压;(2) 整流电路将变压器次级交流电压变换为单向脉动的直流电压;(3) 滤波电路将脉动的直流电压变换为平滑的直流电压;(4) 稳压电路使直流输出电压稳定。本设计共用到电源有四种:即12v、+5v、负载电源。可选用的有开关电源和稳压电源两种,由于开关电源的纹波系数比较大。因此采用常用的稳压电源来作为整个系统的电源。稳压电源由电源变压器、整流电路、滤波电路和稳压电路组成,如图1-1所示。(a)(b)图1-1 稳压电源框图及波形图整流和滤波电路:整流作用是将交流电压u2变换成脉动电压u3。滤波电路一般由电容组成,其作用是脉动电压u3中的大部分纹波加以滤除,以得到较平滑的直流电压u4。再通过稳压电路得到平直的直流电压8。直流稳压电源电路图如图1-2所示9。图1-2 直流稳压电源电路图电源变压器次级电压为 = (1-1) 定义为整流输出电压在一个周期内的平均值,即的直流分量,把输出电压用傅里叶级数分解得到的直流分量就是。所以 (1-2)调整管工作在线性放大区,当电网电压或负载电阻发生变化使输出电压发生变化时,系统的负载作用将使输出电压维持稳定。例如,当电网电压(用输入直流电压表示)升高或负载电阻增加引起输出电压高于设定值时,反馈电压就会相应地增加,基准电压与反馈电压的差值就会相应的减小,经误差放大器放大后的控制电压随之而减小,由于调整管接成射极输出器形式,因此,调整管集电极与发射极之间的电压增大,是输出直流电压减小,从而维持输出电压保持不变10。1.2 程控电源的基本原理程控电源指通过外部控制来设定输出电压、输出电流的稳压、稳流或稳压稳流的电源。程控电源的控制方式一般有无源编程、有源编程、数字式三种。用外部电阻对稳压电源实施无源编程的电路如图1-3所示。图1-3 无源编程电路因为 (1-3)式中 就是电流通路中放大器的基准电压,所以,改变反馈电阻或输人电阻,或者同时改变和都可改变输出值,此即所谓的无源编程法。有源编程电路如图1-2所示。图1-3有源编程电路控制系数是程控电源的一项主要技术指标。对用制系数是产生单位电压变化所要求的电阻变化,单位为v。因此,电源的输出电压为 (1-4) 式中为编程电阻。为了获得比较高的输出电压分辨率,控制系数常选用1kv或10kv。程控电源的另一项主要指标是编程速度。这是控制元件改变后,(或)达到要求的标称值所需要的时间。数字式程控电源的一般结构。其数字电路部分由数据输人缓冲器、光电隔离器、锁存器和da转换器组成。数字式稳压电源的原理电路如图1-4所示。图1-4 数字式稳压电源的原理电路通常,根据稳压电源所要求的输出特性,可以控制电压为步进电压或斜坡电压等不同形式,产生额定输出电压的外部控制电压为或。因为在电源的外面,所以,可在远地对电源进行编程。为了获得高分辨率的输出设定值,也可用数字方式来控制。数字式程控电源在自动测试系统中,常要求以很高的编程速度来设定高分辨率的输出值,数字式程控电源能满足该要求。da转换器把输入的数字式数据转换为基准端的模拟电压,它的分辨率由输人的数据位数决定。例如,如果的量程为010v,输入12位bcd码(3位十进制数),则数据的最低位增量为10mv。分为1000步,每步10mv。如果输人12位二进制数据,则分辨率达0.0244。因为图1-4中的正比于,故按此方式,可以设定任意高的分辨率的输出值和 11。1.3基于fpga的电源的基本原理在各种电子电路实验中,电源是一种必不可少的仪器,目前实验所用的电源大多是只有固定电压输出(例如常用的有:5v、12v或15v),其缺点是输出电压不可人为改变,输出精度和稳定性都不高;在测量上,传统的电源一般采用指针式或数字式来显示电压或电流,搭配电压器调整所要的电压和电流输出。若要调整精确的电压输出,需搭配精确的显示仪表监测;又因电位器的阻值特性非线性,在调整时,需要花费一定的时间12。利用d/a转换器的高分辨率和fpga的自动检测技术设计程控电源就显示其优越性,程控电源既能方便输入和选定预定电压值,同时能实现双路输出,相比单路输出而言,安全性更高,每路的输出电流减小,过载保护更容易。所有功能由面板上的键盘实现,给电路实验带来极大的方便,提高工作效率。本电源以常规稳压电源为基础电源,以高性能fpga和数模转换器为控制电路,在控制和检验软件支持下,把预先给定值通过fpga的输出口送至d/a转换器转换为给定模拟电压,以代替常规稳压电源中的参考电压,使输出电压随着参考电压的变化而变化。基于fpga的程控稳压电源电路框图如图1-6所示。 图1-6 基于fpga的程控稳压电源框图+5v、+12v及-12v由电源模块提供,+5v为fpga工作电压,也是d/a芯片的工作电压,+12v及-12v为运算放大器供电;通过“十位”按键或“各位”按键向fpga输入信号,fpga得到计数脉冲信号,通过其内部相关电路从而计数,内部计数器的信号一路送给外部显示电路来显示当前的电压值,另一路分两路分别送给两个d/a转换器,d/a转换电路将数字量按比例,转换成模拟电压,再经过调整,从而输出稳定的直流电压13。第2章 硬件电路设计本章主要对硬件电路中按键电路,显示电路,d/a转换电路,fpga电路做了详细的介绍。2.1按键电路本设计采用的机械键盘工作原理是:按下键帽时,按键内的复位弹簧被压缩,动片触点与静片触点相连,按键两个引脚连通,接触电阻大小与按键触点面积及材料有关,一般在数十欧姆以下;松手后,复位弹簧将动片弹开,使动片触点与静片触点脱离接触,两引脚返回断开状态。可见 ,机械键盘或按扭的基本工作原理就是利用动片触点和静片触点的接触和断开来实现键盘或按钮两引脚的通、断14。按键电路如图2-1所示。图2-1 按键电路本设计是双路输出,所以有两组按键控制,con4口的1、2口为一组,3、4口为一组,当s1、s2、s3、s4没有被按下时,con4口的上拉电阻rs1-rs4将引脚置为高电平,而当s1-s4被按下时,相应的按键两引脚连通,con4口对应的引脚接地。2.2 显示电路数码管显示电路如图2-2所示。图2-2 数码管显示电路本设计采用共阴极数码管静态显示,静态显示就是当显示某一字符时,相应段的发光二极管恒定地截止。这种显示方法为每一门都需要有一个8位输出口控制,通过con1、con2、con3、con4连接到fpga芯片的i/o口上。图上的电阻为300欧姆,使数码管小数位输出低电平。静态显示器的优点是显示稳定,在发光二极管导通电一定的情况下显示器的亮度高,控制系统在运行过程中,仅仅在需要更新显示内容时,fpga才执行一次显示更新子程序,这样大大节省了处理时间,提高了fpga的工作效率15。2.3 dac0832转换电路d/a转换电路如图2-3所示。图2-3 d/a转换电路本电路采用d/ac0832芯片,其内部结构由8位输入寄存器、8位dac寄存器、8位d/a转换器组成。vcc是芯片电源电压,电压为12v,为参考电压,大小为5v,rfb为反馈电阻引出端,此端可接运算放大器输出端,gnd分为agnd和dgna,即模拟信号地和数字信号地。引脚d0-d7为数字量输入信号,其中d0为最低位,d7为最高位。引脚cs为片选信号,低电平有效,wr1为写信号1,低电平有效,ile为输入锁存允许信号,高电平有效,当ile、cs、wr1同时有效时,le=1,输入寄存器的输出随输入变化而变化,le=0时,将输入数据锁存到输入寄存器。xfer为转移控制信号,低电平有效,wr2为写信号2,低电平有效,当wr2、xfer同时有效时,le2=1,dac寄存器输出随输入变化而变化,le=0,将输入数据锁存到dac寄存器,数据进入d/a转换器,开始转换。iout1为模拟电流输出端1,当输入数字为全1时,输入电流最大,全0时,输出电流为0,iout2为模拟电流输出端2,iout1+iout2=常数。为了将模拟电流转换为模拟电压,需把两个输出端iout1和iout2分别接到运算放大器的两个输入端,经过一级运放得到单极性输出电压16 。2.4 fpga电路本系统 fpga 型号为 altera 公司 cycloneii 系列的ep2c5t144,等效门数为 23 万门。电源采用 5v 直流电,通过板上完善的电源系统,为 fpga 等元件提供所需的各种电压,并可向外输出 5v、3.3v、1.2v 电压。配有 epcsisi8 配置芯片,jtag 和 as 两种下载端口,50mhz 有源晶振。设有 6 个 led 发光二极管,用作简单输出。fpga 的所有引脚均通过2.54mm标准排针引出。2.4.1供电电源部分供电电源部分电路如图2-4所示。图2-4供电电源部分电路图电源部分的输入电压是5v。通过u3:ams1117-3.3 的ldo芯片,得到 3.3v 电压。此芯片最大电流支持到 3a。再通过 ams1117-1.5获取1.5v电压。 3.3v 电压是提供给 fpga 的 i/o 使用的。也就是说,fpga 的 i/o 引脚电平采用的是3.3v。1.5v电压是提供给 fpga内核的工作电压,以及fpga内部pll的工作电压。1.5v电压的纯净程度要求比较高,故采用c3钽电容滤波。2.4.2 io电压、内核电压供电连接。2.4.2 i/o电压、内核电压供电连接部分i/o电压、内核电压供电连接电路如图2-5所示。图2-5 io电压、内核电压供电连接电路图如上图,vccio 两组电压均连接3.3v。fpga有很多的 i/o,它们是分组的。在 ep2c5t144 芯片中,分为四组(bank),每组(每个bank)的i/o引脚供电是独立的,因此可以采用不同的电平标准。本开发板的所有 io 脚都采用 3.3v 电压标准,所以所有的vccio都连接 3.3v。 vccint 为 fpga 内核工作电压输入。cycloneii 系列的 fpga 均采用 1.2v的内核电压,所以这里连接1.2v。2.4.3 时钟信号部分时钟信号电路如图2-6所示。图2-6 时钟信号电路图ep2c5t144提供8路时钟供用户使用,板载50m有源晶振,直接分频处理得到25hz频率,其余7 路有3 路接到接口板上使用,另外 4路直接扩展到板子上,供外部时钟输入的时候使用,为了增加稳定性,对时钟输入部分做了型滤波处理,同时含有电阻缓冲。 2.4.4 ep2c5t144芯片部分ep2c5t144芯片引脚图如图2-7所示。图2-7 ep2c5t144芯片引脚图电源对外接口:提供一路电源输出接口 p6 ,独立 i/o个数是76 个,这其中有3路时钟信号clk1 clk2 clk3 这3 个管脚只能做为输入,使用时需要注意,另外的四路时钟采用 4个独立的接口引出,实际可用 i/o为80个。17 。2.4.5 配置芯片部分配置芯片如图2-8所示。图2-8 配置芯片下载指示灯,jtag或者asp工作下载的时候,指示灯点亮,成功后熄灭。 按键,可以重新配置fpga,相当于复位,按下之后,指示灯亮,从配置芯片中读取程序,成功读取之后,程序开始正常运行,led熄灭asp以及 jtag 接口电路,采用epcsisi8配置芯片,1m容量,适合ep2c5t使用。第3章 系统软件设计3.1系统软件整体设计由于fpga芯片自带50mhz的有源晶振,其频率太高,必须经过合适的分频才能使用。经过100万分频器即得到50hz的信号,再作为100进制计数器模块的工作时钟,同时作为键盘的输入信号;50mhz经过200万分频器即得到25hz的信号作为键盘模块的工作时钟;键盘模块对输入的计数脉冲信号进行消抖处理;100进制可逆计数器模块实现099的计数功能;2选1模块实现选择显示数据的整数和小数的功能;7段译码器模块实现把计数器的输出二进制转换为数码管显示的字符码;二-十进制译码器模块实现将计数器的输出信号转换为d/a所需要的二进制数据18。软件整体框图设计如图3-1所示。图3-1 软件整体框图3.2软件模块设计3.2.1分频器模块设计100万分频器dvf模块如图3-2所示。图3-2 dvf模块输入clk是50mhz频率,经过分频模块分频得到50hz的输出fout。源程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity dvf isport(clk:in std_logic; fout:out std_logic);end;architecture one of dvf isbeginprocess(clk)variable cnt:integer range 0 to 500000;variable x:std_logic;begin if clkevent and clk=1 thenif cnt500000 thencnt:=cnt+1;else cnt:=0;x:=not x;end if;end if;fout=x;end process ;end one;仿真波形如图3-3所示。图3-3 仿真波形仿真结果分析:输入clk的频率为50mhz(即tclk=20ns),而输出f1=50hz, (即tfout=20ms)则次分频器设计符合要求。200万分频器dvf模块如图3-2所示。图3-4 dvf模块源程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity dvf1 isport(clk:in std_logic; fout:out std_logic);end;architecture one of dvf1 isbeginprocess(clk)variable cnt:integer range 0 to 1000000;variable x:std_logic;begin if clkevent and clk=1 thenif cnt1000000 thencnt:=cnt+1;else cnt:=0;x:=not x;end if;end if;fout=x;end process ;end one;仿真波形如图3-5所示。图3-5 仿真波形仿真结果分析:输入clk的频率为50hz(即tclk=20ms),而输出f1=25hz, (即tfout=40ms)则次分频器设计符合要求。3.2.2键盘输入模块设计键盘模块如图3-3所示。图3-6 键盘模块up和down为输入脉冲,经过消抖处理得到时钟信号clk和clk1,再得到输出脉冲up0和down0。源程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity key isport( up:in std_logic;down:in std_logic;clk:in std_logic;clk1:in std_logic;up0:out std_logic;down0:out std_logic);end key;architecture one of key issignalupt1,upt2,upt3,downt1,downt2,downt3:std_logic;beginprocess(clk1)beginif(clk1event and clk1=1)thenupt1=up;upt2= upt1;downt1=down;downt2=downt1;end if;end process;upt3=not upt2;downt3=not downt2;process(clk)beginif(clkevent and clk=1) thenup0=clk1andupt1 and upt3;down0 =clk1 and downt1 and downt3;end if;end process;end one;仿真波形如图3-5所示。图3-7 仿真波形仿真结果分析:经过抖动处理,按键的输出脉冲均变为一个clk1时钟周期的宽度,因开关及外界一系列因素引起的电平抖动的干扰脉冲信号全被滤掉。则此键盘模块符合设计要求。3.2.3 100进制计数器模块设计计数器模块如图3-8所示。图3-8 计数器模块源程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt100 isport ( clk:in std_logic; up,down:in std_logic; q1,q2:out std_logic_vector(3 downto 0);end cnt100;architecture one of cnt100 issignal cout2,cout1:std_logic_vector(3 downto 0);begin process(clk,up,down) begin if(clkevent and clk=1) then if(up=0 and down=1) thenif (cout2=9 and cout1=9) then cout2=1001;cout1=1001; else if (cout1=9) thencout2=cout2+1; cout1=0000;elsecout2=cout2; cout1=cout1+1;end if;end if;elsif(down=0 and up=1) thenif (cout2=0 and cout1=0) thencout2=0000;cout1=0000;else if (cout1=0) thencout2=cout2-1; cout1=1001;elsecout2=cout2; cout1=cout1-1;end if;end if;elsif(down=1 and up=1) thencout1=0110;cout2=0110;end if;end if;end process;q1=cout1;q2qqqchoose_wei_machoose_wei_machoose_wei_ma=xx; end case;end process;end one;图3-15 仿真波形分析结果:由波形可知,当a=1时,输出信号choose_wei_ma=10; 当a=0时,输出信号choose_wei_ma=01;由此可知,每次只能选通一个数码管,通过改变选择信号a的频率达到显示要求。显然此模块符合设计要求。3.2.6驱动共阴极数码管七段译码器模块设计显示模块如图3-12所示。图3-14 显示模块源程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all; entity segment7 is port ( datain:in std_logic_vector(3 downto 0); dataout:out std_logic_vector(6 downto 0); end segment7 ; architecture one of segment7 isbeginwith datain select dataout=1111110 when 0000, 0110000 when 0001, 1101101 when 0010, 1111001 when 0011, 0110011 when 0100, 1011011 when 0101, 1011111 when 0110, 1110000 when 0111, 1111111 when 1000, 1111011 when 1001, 1111111 when others; end one;仿真波形如图3-13。图3-15 仿真波形分析结果:dataout(6)=a, dataout(5)=b, dataout(6)=c, dataout(6)=d, dataout(6)=e, dataout(6)=f, dataout(6)=g。当datain=0000时,dataout=1111110,此时只有g段不亮,显示0;当datain=0111时,dataout =1110000,即a,b,c三段亮,显示字符7。其余分析类似。显然此模块设计符合要求。3.2.6二-十进制译码器模块设计译码器模块如图3-14所示。图3-16 译码器模块源程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity bcd2_10 isport(bcd1,bcd2:in std_logic_vector(3 downto 0); b_out:out std_logic_vector(7 downto 0);end bcd2_10;architecture one of bcd2_10 isbegin b_outcp1,fout=clk2);u2:dvf1 port map(clk=cp1,fout=clk_key);u3:cnt100 port map(clk=clk2,up=up11,down=down11,q2=q22,q1=q11);u4:key port map (clk=clk2,clk1=clk_key,up0=up11,down0=down11,up=up1,down=down1);u5:decoder1_2 port map(a=clk2,choose_wei_ma=wei_ma_choose);u6:bcd2_10 port map(bcd1=q11,bcd2=q22,b_out= data_to_da);u7:mux21 port map(a=q11,b=q22,q=q33,sel=clk2);u8:segment7 port map(datain=q33,dataout=duan_ma_choose);end one;仿真波形如图3-17。图3-19 仿真波形分析结果:上述波形为部分仿真结果。段码信号根据按键输入的信号及时钟信号而发生变化。由段码信号1111110则显示的是0的字符码值;而0110000则显示的是1的字符码值。因按键存在抖动,内部必须加上一定延迟才能接受到真正的按键信号,以防接受干扰信号而造成误操作。第4章 系统运行与调试4.1系统运行过程步骤一:安装驱动。插入usb下载线后,自动弹出窗口,手动设置,d:alteraquartus 9.0driverusbblaster。具体查找目录根据自己安装软件quartus 9.0的所在的根目录。具体操作如图4-1所示。(a)(b)(c)图4-1 操作步骤步骤二: 锁引脚。assignments-pins-锁好如图4-2所示-编译图4-2 锁引脚图步骤三: ide环境设置。 (1)asignments-device-ep2c5t144-device&pin options-configuration-use configuration device-epcs1-编译(2)tools-programmer-hardwaresetup-currently selected hardware-usb-blaster- mode-asp-选择display.pof文件(a)(b)(c)(d)图4-3配置文件下载-start19 。注:待程序下载完毕,先切断电源,再拔usb下载线,再给fpga芯片及硬件上电后,程序才能生效。保证下载板在断电的情况下进行asp接口的插拔。在软硬件联调时,首先给硬件上电单独测试,检验数码管显示模块是否正常以及d/a转换部分是否正常工作。具体操作如下:数码管显示模块-先给位码控制信号端口加高电

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论