第5章 数模和模数转换.ppt

0597、《数字电子技术》 电子教案

收藏

压缩包内文档预览:(预览前20页/共29页)
预览图 预览图 预览图 预览图 预览图 预览图 预览图 预览图 预览图 预览图 预览图 预览图 预览图 预览图 预览图 预览图 预览图 预览图 预览图 预览图
编号:6127032    类型:共享资源    大小:5.26MB    格式:ZIP    上传时间:2017-11-24 上传人:超****计 IP属地:浙江
4.8
积分
关 键 词:
数字 电子技术 电子 教案
资源描述:
0597、《数字电子技术》 电子教案,数字,电子技术,电子,教案
内容简介:
数字电子技术,湖南计算机高等专科学校李中发 胡锦 制作,第1章 数字电子技术基础,学习要点: 二进制、二进制与十进制的相互转换 逻辑代数的公式与定理、逻辑函数化简 基本逻辑门电路的逻辑功能,第1章 数字电子技术基础,1.1 数字电子技术基础,1.2 数制与编码,1.3 逻辑代数基础,1.4 逻辑函数的化简,1.5 逻辑函数的表示方法及其相互转换,1.6 门电路,退出,1.1 数字电路概述,1.1.1 数字信号与数字电路,1.1.2 数字电路的特点与分类,退出,1.1.1 数字信号与数字电路,模拟信号:在时间上和数值上连续的信号。,数字信号:在时间上和数值上不连续的(即离散的)信号。,u,u,模拟信号波形,数字信号波形,t,t,对模拟信号进行传输、处理的电子线路称为模拟电路。,对数字信号进行传输、处理的电子线路称为数字电路。,1.1.2 数字电路的的特点与分类,(1)工作信号是二进制的数字信号,在时间上和数值上是离散的(不连续),反映在电路上就是低电平和高电平两种状态(即0和1两个逻辑值)。(2)在数字电路中,研究的主要问题是电路的逻辑功能,即输入信号的状态和输出信号的状态之间的关系。 (3)对组成数字电路的元器件的精度要求不高,只要在工作时能够可靠地区分0和1两种状态即可。,1、数字电路的特点,2、数字电路的分类,(2)按所用器件制作工艺的不同:数字电路可分为双极型(TTL型)和单极型(MOS型)两类。,(3)按照电路的结构和工作原理的不同:数字电路可分为组合逻辑电路和时序逻辑电路两类。组合逻辑电路没有记忆功能,其输出信号只与当时的输入信号有关,而与电路以前的状态无关。时序逻辑电路具有记忆功能,其输出信号不仅和当时的输入信号有关,而且与电路以前的状态有关。,(1)按集成度分类:数字电路可分为小规模(SSI,每片数十器件)、中规模(MSI,每片数百器件)、大规模(LSI,每片数千器件)和超大规模(VLSI,每片器件数目大于1万)数字集成电路。集成电路从应用的角度又可分为通用型和专用型两大类型。,本节小结,数字信号的数值相对于时间的变化过程是跳变的、间断性的。对数字信号进行传输、处理的电子线路称为数字电路。模拟信号通过模数转换后变成数字信号,即可用数字电路进行传输、处理。,1. 2 数制与编码,1.2.1 数制,1.2.2 数制转换,1.2.3 编码,退出,(1)进位制:表示数时,仅用一位数码往往不够用,必须用进位计数的方法组成多位数码。多位数码每一位的构成以及从低位到高位的进位规则称为进位计数制,简称进位制。,1.2.1 数制,(2)基 数:进位制的基数,就是在该进位制中可能用到的数码个数。,(3) 位 权(位的权数):在某一进位制的数中,每一位的大小都对应着该位上的数码乘上一个固定的数,这个固定的数就是这一位的权数。权数是一个幂。,数码为:09;基数是10。运算规律:逢十进一,即:9110。十进制数的权展开式:,1、十进制,103、102、101、100称为十进制的权。各数位的权是10的幂。,同样的数码在不同的数位上代表的数值不同。,任意一个十进制数都可以表示为各个数位上的数码与其对应的权的乘积之和,称权展开式。,即:(5555)105103 510251015100,又如:(209.04)10 2102 0101910001014 102,2、二进制,数码为:0、1;基数是2。运算规律:逢二进一,即:1110。二进制数的权展开式:如:(101.01)2 122 0211200211 22 (5.25)10,加法规则:0+0=0,0+1=1,1+0=1,1+1=10乘法规则:0.0=0, 0.1=0 ,1.0=0,1.1=1,运算规则,各数位的权是的幂,二进制数只有0和1两个数码,它的每一位都可以用电子元件来实现,且运算规则简单,相应的运算电路也容易实现。,数码为:07;基数是8。运算规律:逢八进一,即:7110。八进制数的权展开式:如:(207.04)10 282 0817800814 82 (135.0625)10,3、八进制,4、十六进制,数码为:09、AF;基数是16。运算规律:逢十六进一,即:F110。十六进制数的权展开式:如:(D8.A)2 13161 816010 161(216.625)10,各数位的权是8的幂,各数位的权是16的幂,结论,一般地,N进制需要用到N个数码,基数是N;运算规律为逢N进一。如果一个N进制数M包含位整数和位小数,即 (an-1 an-2 a1 a0 a1 a2 am)2则该数的权展开式为:(M)2 an-1Nn-1 an-2 Nn-2 a1N1 a0 N0a1 N-1a2 N-2 amN-m 由权展开式很容易将一个N进制数转换为十进制数。,1.2.2 数制转换,(1)二进制数转换为八进制数: 将二进制数由小数点开始,整数部分向左,小数部分向右,每3位分成一组,不够3位补零,则每组二进制数便是一位八进制数。,将N进制数按权展开,即可以转换为十进制数。,1、二进制数与八进制数的相互转换,1 1 0 1 0 1 0 . 0 1,0 0,0, (152.2)8,(2)八进制数转换为二进制数:将每位八进制数用3位二进制数表示。,= 011 111 100 . 010 110,(374.26)8,2、二进制数与十六进制数的相互转换,1 1 1 0 1 0 1 0 0 . 0 1 1,0 0 0,0, (1E8.6)16,= 1010 1111 0100 . 0111 0110,(AF4.76)16,二进制数与十六进制数的相互转换,按照每4位二进制数对应于一位十六进制数进行转换。,3、十进制数转换为二进制数,采用的方法 基数连除、连乘法原理:将整数部分和小数部分分别进行转换。 整数部分采用基数连除法,小数部分 采用基数连乘法。转换后再合并。,整数部分采用基数连除法,先得到的余数为低位,后得到的余数为高位。,小数部分采用基数连乘法,先得到的整数为高位,后得到的整数为低位。,所以:(44.375)10(101100.011)2,采用基数连除、连乘法,可将十进制数转换为任意的N进制数。,用一定位数的二进制数来表示十进制数码、字母、符号等信息称为编码。,用以表示十进制数码、字母、符号等信息的一定位数的二进制数称为代码。,1.2.3 编码,数字系统只能识别0和1,怎样才能表示更多的数码、符号、字母呢?用编码可以解决此问题。,二-十进制代码:用4位二进制数b3b2b1b0来表示十进制数中的 0 9 十个数码。简称BCD码。,2421码的权值依次为2、4、2、1;余3码由8421码加0011得到;格雷码是一种循环码,其特点是任何相邻的两个码字,仅有一位代码不同,其它位相同。,用四位自然二进制码中的前十个码字来表示十进制数码,因各位的权值依次为8、4、2、1,故称8421 BCD码。,本节小结,日常生活中使用十进制,但在计算机中基本上使用二进制,有时也使用八进制或十六进制。利用权展开式可将任意进制数转换为十进制数。将十进制数转换为其它进制数时,整数部分采用基数除法,小数部分采用基数乘法。利用1位八进制数由3位二进制数构成,1位十六进制数由4位二进制数构成,可以实现二进制数与八进制数以及二进制数与十六进制数之间的相互转换。二进制代码不仅可以表示数值,而且可以表示符号及文字,使信息交换灵活方便。BCD码是用4位二进制代码代表1位十进制数的编码,有多种BCD码形式,最常用的是8421 BCD码。,1.3 逻辑代数基础,1.3.1 逻辑代数的基本概念,1.3.2 逻辑代数的公式、定理和规则,1.3.3 逻辑函数的表达式,退出,事物往往存在两种对立的状态,在逻辑代数中可以抽象地表示为 0 和 1 ,称为逻辑0状态和逻辑1状态。,逻辑代数是按一定的逻辑关系进行运算的代数,是分析和设计数字电路的数学工具。在逻辑代数,只有和两种逻辑值,有与、或、非三种基本逻辑运算,还有与或、与非、与或非、异或几种导出逻辑运算。,逻辑代数中的变量称为逻辑变量,用大写字母表示。逻辑变量的取值只有两种,即逻辑0和逻辑1,0 和 1 称为逻辑常量,并不表示数量的大小,而是表示两种对立的逻辑状态。,逻辑是指事物的因果关系,或者说条件和结果的关系,这些因果关系可以用逻辑运算来表示,也就是用逻辑代数来描述。,1.3.1 基本逻辑运算,1、与逻辑(与运算),与逻辑的定义:仅当决定事件(Y)发生的所有条件(A,B,C,)均满足时,事件(Y)才能发生。表达式为:,开关A,B串联控制灯泡Y,两个开关必须同时接通,灯才亮。逻辑表达式为:,A、B都断开,灯不亮。,A断开、B接通,灯不亮。,A接通、B断开,灯不亮。,A、B都接通,灯亮。,这种把所有可能的条件组合及其对应结果一一列出来的表格叫做真值表。,将开关接通记作1,断开记作0;灯亮记作1,灯灭记作0。可以作出如下表格来描述与逻辑关系:,功能表,实现与逻辑的电路称为与门。与门的逻辑符号:,真值表,逻辑符号,2、或逻辑(或运算),或逻辑的定义:当决定事件(Y)发生的各种条件(A,B,C,)中,只要有一个或多个条件具备,事件(Y)就发生。表达式为:,开关A,B并联控制灯泡Y,两个开关只要有一个接通,灯就会亮。逻辑表达式为:,+,A、B都断开,灯不亮。,A断开、B接通,灯亮。,A接通、B断开,灯亮。,A、B都接通,灯亮。,实现或逻辑的电路称为或门。或门的逻辑符号:,Y=A+B,真值表,功能表,逻辑符号,3、非逻辑(非运算),非逻辑指的是逻辑的否定。当决定事件(Y)发生的条件(A)满足时,事件不发生;条件不满足,事件反而发生。表达式为:,开关A控制灯泡Y,实现非逻辑的电路称为非门。非门的逻辑符号:,A断开,灯亮。,A接通,灯灭。,真值表,功能表,逻辑符号,4、常用的逻辑运算,(1)与非运算:逻辑表达式为:,(2)或非运算:逻辑表达式为:,(3)异或运算:逻辑表达式为:,(4) 与或非运算:逻辑表达式为:,5、逻辑函数及其相等概念,(1)逻辑表达式:由逻辑变量和与、或、非3种运算符连接起来所构成的式子。在逻辑表达式中,等式右边的字母A、B、C、D等称为输入逻辑变量,等式左边的字母Y称为输出逻辑变量,字母上面没有非运算符的叫做原变量,有非运算符的叫做反变量。,(2)逻辑函数:如果对应于输入逻辑变量A、B、C、的每一组确定值,输出逻辑变量Y就有唯一确定的值,则称Y是A、B、C、的逻辑函数。记为,注意:与普通代数不同的是,在逻辑代数中,不管是变量还是函数,其取值都只能是0或1,并且这里的0和1只表示两种不同的状态,没有数量的含义。,(3)逻辑函数相等的概念:设有两个逻辑函数,它们的变量都是A、B、C、,如果对应于变量A、B、C、的任何一组变量取值,Y1和Y2的值都相同,则称Y1和Y2是相等的,记为Y1=Y2。,若两个逻辑函数相等,则它们的真值表一定相同;反之,若两个函数的真值表完全相同,则这两个函数一定相等。因此,要证明两个逻辑函数是否相等,只要分别列出它们的真值表,看看它们的真值表是否相同即可。,证明等式:,1.3.2 逻辑代数的公式、定理和规则,1、逻辑代数的公式和定理,(1)常量之间的关系,(2)基本公式,分别令A=0及A=1代入这些公式,即可证明它们的正确性。,(3)基本定理,利用真值表很容易证明这些公式的正确性。如证明AB=BA:,(A+B)(A+C)=AA+AB+AC+BC,分配率A(B+C)=AB+AC,=A+AB+AC+BC,等幂率AA=A,=A(1+B+C)+BC,分配率A(B+C)=AB+AC,=A+BC,0-1率A+1=1,证明分配率:A+BA=(A+B)(A+C),证明:,(4)常用公式,分配率A+BC=(A+B)(A+C),0-1率A1=1,分配率A(B+C)=AB+AC,0-1率A+1=1,例如,已知等式 ,用函数Y=AC代替等式中的A,根据代入规则,等式仍然成立,即有:,2、逻辑代数运算的基本规则,(1)代入规则:任何一个含有变量A的等式,如果将所有出现A的位置都用同一个逻辑函数代替,则等式仍然成立。这个规则称为代入规则。,(2)反演规则:对于任何一个逻辑表达式Y,如果将表达式中的所有“”换成“”,“”换成“”,“0”换成“1”,“1”换成“0”,原变量换成反变量,反变量换成原变量,那么所得到的表达式就是函数Y的反函数Y(或称补函数)。这个规则称为反演规则。例如:,(3)对偶规则:对于任何一个逻辑表达式Y,如果将表达式中的所有“”换成“”,“”换成“”,“0”换成“1”,“1”换成“0”,而变量保持不变,则可得到的一个新的函数表达式Y,Y称为函Y的对偶函数。这个规则称为对偶规则。例如:,对偶规则的意义在于:如果两个函数相等,则它们的对偶函数也相等。利用对偶规则,可以使要证明及要记忆的公式数目减少一半。例如:,注意:在运用反演规则和对偶规则时,必须按照逻辑运算的优先顺序进行:先算括号,接着与运算,然后或运算,最后非运算,否则容易出错。,1.3.3 逻辑函数的表达式,一个逻辑函数的表达式可以有与或表达式、或与表达式、与非-与非表达式、或非-或非表达式、与或非表达式5种表示形式。,一种形式的函数表达式相应于一种逻辑电路。尽管一个逻辑函数表达式的各种表示形式不同,但逻辑功能是相同的。,1、逻辑函数的最小项及其性质,(1)最小项:如果一个函数的某个乘积项包含了函数的全部变量,其中每个变量都以原变量或反变量的形式出现,且仅出现一次,则这个乘积项称为该函数的一个标准积项,通常称为最小项。,3个变量A、B、C可组成8个最小项:,(2)最小项的表示方法:通常用符号mi来表示最小项。下标i的确定:把最小项中的原变量记为1,反变量记为0,当变量顺序确定后,可以按顺序排列成一个二进制数,则与这个二进制数相对应的十进制数,就是这个最小项的下标i。,3个变量A、B、C的8个最小项可以分别表示为:,(3)最小项的性质:,任意一个最小项,只有一组变量取值使其值为1。,全部最小项的和必为1。,任意两个不同的最小项的乘积必为0。,2、逻辑函数的最小项表达式,任何一个逻辑函数都可以表示成唯一的一组最小项之和,称为标准与或表达式,也称为最小项表达式,如果列出了函数的真值表,则只要将函数值为1的那些最小项相加,便是函数的最小项表达式。,将真值表中函数值为0的那些最小项相加,便可得到反函数的最小项表达式。,本节小结,逻辑代数是分析和设计数字电路的重要工具。利用逻辑代数,可以把实际逻辑问题抽象为逻辑函数来描述,并且可以用逻辑运算的方法,解决逻辑电路的分析和设计问题。与、或、非是3种基本逻辑关系,也是3种基本逻辑运算。与非、或非、与或非、异或则是由与、或、非3种基本逻辑运算复合而成的4种常用逻辑运算。逻辑代数的公式和定理是推演、变换及化简逻辑函数的依据。,1.4 逻辑函数的化简,1.4.1 逻辑函数的最简表达式,1.4.2 逻辑函数的公式化简法,1.4.3 逻辑函数的图形化简法,1.4.4 含随意项的逻辑函数的化简,退出,逻辑函数化简的意义:逻辑表达式越简单,实现它的电路越简单,电路工作越稳定可靠。,1.4.1 逻辑函数的最简表达式,1、最简与或表达式,乘积项最少、并且每个乘积项中的变量也最少的与或表达式。,最简与或表达式,2、最简与非-与非表达式,非号最少、并且每个非号下面乘积项中的变量也最少的与非-与非表达式。,在最简与或表达式的基础上两次取反,用摩根定律去掉下面的非号,3、最简或与表达式,括号最少、并且每个括号内相加的变量也最少的或与表达式。,求出反函数的最简与或表达式,利用反演规则写出函数的最简或与表达式,4、最简或非-或非表达式,非号最少、并且每个非号下面相加的变量也最少的或非-或非表达式。,求最简或非-或非表达式,两次取反,、最简与或非表达式,非号下面相加的乘积项最少、并且每个乘积项中相乘的变量也最少的与或非表达式。,求最简或非-或非表达式,用摩根定律去掉下面的非号,用摩根定律去掉大非号下面的非号,1.4.2 逻辑函数的公式化简法,1、并项法,逻辑函数的公式化简法就是运用逻辑代数的基本公式、定理和规则来化简逻辑函数。,若两个乘积项中分别包含同一个因子的原变量和反变量,而其他因子都相同时,则这两项可以合并成一项,并消去互为反变量的因子。,运用摩根定律,运用分配律,运用分配律,2、吸收法,如果乘积项是另外一个乘积项的因子,则这另外一个乘积项是多余的。,运用摩根定律,()利用公式,消去多余的项。,如果一个乘积项的反是另一个乘积项的因子,则这个因子是多余的。,、配项法,()利用公式,为某项配上其所能合并的项。,、消去冗余项法,例:化简函数,解:先求出Y的对偶函数Y,并对其进行化简。,求Y的对偶函数,便得的最简或与表达式。,1.4.3 逻辑函数的图形化简法,1、卡诺图的构成,逻辑函数的图形化简法是将逻辑函数用卡诺图来表示,利用卡诺图来化简逻辑函数。,将逻辑函数真值表中的最小项重新排列成矩阵形式,并且使矩阵的横方向和纵方向的逻辑变量的取值按照格雷码的顺序排列,这样构成的图形就是卡诺图。,卡诺图的特点是任意两个相邻的最小项在图中也是相邻的。(相邻项是指两个最小项只有一个因子互为反变量,其余因子均相同,又称为逻辑相邻项) 。,每个2变量的最小项有两个最小项与它相邻,每个3变量的最小项有3个最小项与它相邻,每个4变量的最小项有4个最小项与它相邻,最左列的最小项与最右列的相应最小项也是相邻的,最上面一行的最小项与最下面一行的相应最小项也是相邻的,两个相邻最小项可以合并消去一个变量,逻辑函数化简的实质就是相邻最小项的合并,2、逻辑函数在卡诺图中的表示,(1)逻辑函数是以真值表或者以最小项表达式给出:在卡诺图上那些与给定逻辑函数的最小项相对应的方格内填入1,其余的方格内填入0。,m1,m3,m4,m6,m7,m11,m14,m15,(2)逻辑函数以一般的逻辑表达式给出:先将函数变换为与或表达式(不必变换为最小项之和的形式),然后在卡诺图上与每一个乘积项所包含的那些最小项(该乘积项就是这些最小项的公因子)相对应的方格内填入1,其余的方格内填入0。,变换为与或表达式,3、卡诺图的性质,(1)任何两个(21个)标1的相邻最小项,可以合并为一项,并消去一个变量(消去互为反变量的因子,保留公因子)。,(2)任何4个(22个)标1的相邻最小项,可以合并为一项,并消去2个变量。,(3)任何8个(23个)标1的相邻最小项,可以合并为一项,并消去3个变量。,小结:相邻最小项的数目必须为个才能合并为一项,并消去个变量。包含的最小项数目越多,即由这些最小项所形成的圈越大,消去的变量也就越多,从而所得到的逻辑表达式就越简单。这就是利用卡诺图化简逻辑函数的基本原理。,4、图形法化简的基本步骤,逻辑表达式或真值表,卡诺图,1,1,合并最小项,圈越大越好,但每个圈中标的方格数目必须为个。同一个方格可同时画在几个圈内,但每个圈都要有新的方格,否则它就是多余的。不能漏掉任何一个标的方格。,最简与或表达式,冗余项,2,2,3,3,将代表每个圈的乘积项相加,两点说明:, 在有些情况下,最小项的圈法不只一种,得到的各个乘积项组成的与或表达式各不相同,哪个是最简的,要经过比较、检查才能确定。,不是最简,最简, 在有些情况下,不同圈法得到的与或表达式都是最简形式。即一个函数的最简与或表达式不是唯一的。,1.4.4 含随意项的逻辑函数的化简,随意项:函数可以随意取值(可以为0,也可以为1)或不会出现的变量取值所对应的最小项称为随意项,也叫做约束项或无关项。,1、含随意项的逻辑函数,例如:判断一位十进制数是否为偶数。,输入变量A,B,C,D取值为00001001时,逻辑函数Y有确定的值,根据题意,偶数时为1,奇数时为0。,A,B,C,D取值为1010 1111的情况不会出现或不允许出现,对应的最小项属于随意项。用符号“”、“”或“d”表示。,随意项之和构成的逻辑表达式叫做 随意条件或约束条件,用一个值恒为 0 的条件等式表示。,含有随意条件的逻辑函数可以表示成如下形式:,2、含随意项的逻辑函数的化简,在逻辑函数的化简中,充分利用随意项可以得到更加简单的逻辑表达式,因而其相应的逻辑电路也更简单。在化简过程中,随意项的取值可视具体情况取0或取1。具体地讲,如果随意项对化简有利,则取1;如果随意项对化简不利,则取0。,不利用随意项的化简结果为:,利用随意项的化简结果为:,3、变量互相排斥的逻辑函数的化简,在一组变量中,如果只要有一个变量取值为1,则其它变量的值就一定为0,具有这种制约关系的变量叫做互相排斥的变量。变量互相排斥的逻辑函数也是一种含有随意项的逻辑函数。,简化真值表,本节小结,逻辑函数的化简有公式法和图形法等。公式法是利用逻辑代数的公式、定理和规则来对逻辑函数化简,这种方法适用于各种复杂的逻辑函数,但需要熟练地运用公式和定理,且具有一定的运算技巧。图形法就是利用函数的卡诺图来对逻辑函数化简,这种方法简单直观,容易掌握,但变量太多时卡诺图太复杂,图形法已不适用。在对逻辑函数化简时,充分利用随意项可以得到十分简单的结果。,1.5 逻辑函数的表示方法及其相互转换,1.5.1 逻辑函数的表示方法,1.5.2 逻辑函数表示方法之间的转换,退出,1.5.1 逻辑函数的表示方法,1、真值表,真值表:是由变量的所有可能取值组合及其对应的函数值所构成的表格。,真值表列写方法:每一个变量均有0、1两种取值,n个变量共有2i种不同的取值,将这2i种不同的取值按顺序(一般按二进制递增规律)排列起来,同时在相应位置上填入函数的值,便可得到逻辑函数的真值表。,例如:当A=B=1、或则B=C=1时,函数Y=1;否则Y=0。,2、逻辑表达式,逻辑表达式:是由逻辑变量和与、或、非3种运算符连接起来所构成的式子。,函数的标准与或表达式的列写方法:将函数的真值表中那些使函数值为1的最小项相加,便得到函数的标准与或表达式。,3、卡诺图,卡诺图:是由表示变量的所有可能取值组合的小方格所构成的图形。,逻辑函数卡诺图的填写方法:在那些使函数值为1的变量取值组合所对应的小方格内填入1,其余的方格内填入0,便得到该函数的卡诺图。,4、逻辑图,逻辑图:是由表示逻辑运算的逻辑符号所构成的图形。,、波形图,波形图:是由输入变量的所有可能取值组合的高、低电平及其对应的输出函数值的高、低电平所构成的图形。,1.5.2 逻辑函数表示方法之间的转换,1、由真值表到逻辑图的转换,真值表,逻辑表达式或卡诺图,1,1,最简与或表达式,化简,2,或,2,画逻辑图,3,最简与或表达式,B,A,A,C,AC,Y,B,A,A,C,Y,若用与非门实现,将最简与或表达式变换乘最简与非-与非表达式,3,2、由逻辑图到真值表的转换,逻辑图,逻辑表达式,1,1,最简与或表达式,化简,2,2,从输入到输出逐级写出,最简与或表达式,3,真值表,3,本节小结,逻辑函数可用真值表、逻辑表达式、卡诺图、逻辑图和波形图5种方式表示,它们各具特点,但本质相通,可以互相转换。对于一个具体的逻辑函数,究竟采用哪种表示方式应视实际需要而定。在使用时应充分利用每一种表示方式的优点。由于由真值表到逻辑图和由逻辑图到真值表的转换,直接涉及到数字电路的分析和设计问题,因此显得更为重要。,1.6 门电路,1.6.1 半导体器件的开关特性,1.6.2 分立元件门电路,1.6.3 TTL集成门电路,1.6.4 CMOS集成门电路,退出,获得高、低电平的基本方法:利用半导体开关元件的导通、截止(即开、关)两种工作状态。,逻辑0和1: 电子电路中用高、低电平来表示。,1.6.1 半导体器件的开关特性,1、二极管的开关特性,逻辑门电路:用以实现基本和常用逻辑运算的电子电路。简称门电路。,基本和常用门电路有与门、或门、非门(反相器)、与非门、或非门、与或非门和异或门等。,二极管符号:,正极,负极,uD ,uo,uo,ui0V时,二极管截止,如同开关断开,uo0V。,ui5V时,二极管导通,如同0.7V的电压源,uo4.3V。,二极管的反向恢复时间限制了二极管的开关速度。,Ui0.5V时,二极管导通。,2、三极管的开关特性,截止状态,饱和状态,iBIBS,ui=UIL0.5V,uo=+VCC,ui=UIH,uo=0.3V,饱和区,截止区,放,大,区,ui=0.3V时,因为uBE0.5V,iB=0,三极管工作在截止状态,ic=0。因为ic=0,所以输出电压:,ui=1V时,三极管导通,基极电流:,因为0iBIBS,三极管工作在饱和状态。输出电压:,uoUCES0.3V,3、场效应管的开关特性,工作原理电路,转移特性曲线,输出特性曲线,截止状态,uiUT,uo0,1.6.2 分立元件门电路,1、二极管与门,Y=AB,2、二极管或门,Y=A+B,3、三极管非门,uA0V时,三极管截止,iB0,iC0,输出电压uYVCC5V,uA5V时,三极管导通。基极电流为:,iBIBS,三极管工作在饱和状态。输出电压uYUCES0.3V。,三极管临界饱和时的基极电流为:,当uA0V时,由于uGSuA0V,小于开启电压UT,所以MOS管截止。输出电压为uYVDD10V。,当uA10V时,由于uGSuA10V,大于开启电压UT,所以MOS管导通,且工作在可变电阻区,导通电阻很小,只有几百欧姆。输出电压为uY0V。,1.6.3 TTL集成门电路,1、TTL与非门,输入信号不全为1:如uA=0.3V, uB=3.6V,1V,则uB1=0.3+0.7=1V,T2、T5截止,T3、T4导通,忽略iB3,输出端的电位为:,输出Y为高电平。,uY50.70.73.6V,输入信号全为1:如uA=uB=3.6V,2.1V,则uB1=2.1V,T2、T5导通,T3、T4截止,输出端的电位为:,uY=UCES0.3V,输出Y为低电平。,功能表,真值表,逻辑表达式,输入有低,输出为高;输入全高,输出为低。,74LS00内含4个2输入与非门,74LS20内含2个4输入与非门。,2、TTL非门、或非门、与或非门、与门、或门及异或门,A=0时,T2、T5截止,T3、T4导通,Y=1。,A=1时,T2、T5导通,T3、T4截止,Y=0。,TTL非门,A、B中只要有一个为1,即高电平,如A1,则iB1就会经过T1集电结流入T2基极,使T2、T5饱和导通,输出为低电平,即Y0。,AB0时,iB1、iB1均分别流入T1、T1发射极,使T2、T2、T5均截止,T3、T4导通,输出为高电平,即Y1。,TTL或非门,A和B都为高电平(T2导通)、或C和D都为高电平(T2导通)时,T5饱和导通、T4截止,输出Y=0。,A和B不全为高电平、并且C和D也不全为高电平(T2和T2同时截止)时,T5截止、T4饱和导通,输出Y=1。,TTL与或非门,与门,或门,异或门,3、OC门及TSL门,问题的提出:,为解决一般TTL与非门不能线与而设计的。,A、B不全为1时,uB1=1V,T2、T3截止,Y=1。,接入外接电阻R后:,A、B全为1时,uB1=2.1V,T2、T3饱和导通,Y=0。,外接电阻R的取值范围为:,OC门,TSL门,E0时,二极管D导通,T1基极和T2基极均被钳制在低电平,因而T2T5均截止,输出端开路,电路处于高阻状态。,结论:电路的输出有高阻态、高电平和低电平3种状态。,TSL门的应用:,构成数据总线:让各门的控制端轮流处于低电平,即任何时刻只让一个TSL门处于工作状态,而其余TSL门均处于高阻状态,这样总线就会轮流接受各TSL门的输出。,4、TTL系列集成电路及主要参数,TTL系列集成电路,74:标准系列,前面介绍的TTL门电路都属于74系列,其典型电路与非门的平均传输时间tpd10ns,平均功耗P10mW。,74H:高速系列,是在74系列基础上改进得到的,其典型电路与非门的平均传输时间tpd6ns,平均功耗P22mW。,74S:肖特基系列,是在74H系列基础上改进得到的,其典型电路与非门的平均传输时间tpd3ns,平均功耗P19mW。,74LS:低功耗肖特基系列,是在74S系列基础上改进得到的,其典型电路与非门的平均传输时间tpd9ns,平均功耗P2mW。74LS系列产品具有最佳的综合性能,是TTL集成电路的主流,是应用最广的系列。,TTL与非门主要参数,(1)输出高电平UOH:TTL与非门的一个或几个输入为低电平时的输出电平。产品规范值UOH2.4V,标准高电平USH2.4V。(2)高电平输出电流IOH:输出为高电平时,提供给外接负载的最大输出电流,超过此值会使输出高电平下降。IOH表示电路的拉电流负载能力。(3)输出低电平UOL:TTL与非门的输入全为高电平时的输出电平。产品规范值UOL0.4V,标准低电平USL0.4V。(4)低电平输出电流IOL:输出为低电平时,外接负载的最大输出电流,超过此值会使输出低电平上升。IOL表示电路的灌电流负载能力。(5)扇出系数NO:指一个门电路能带同类门的最大数目,它表示门电路的带负载能力。一般TTL门电路NO8,功率驱动门的NO可达25。(6)最大工作频率fmax:超过此频率电路就不能正常工作。,(7)输入开门电平UON:是在额定负载下使与非门的输出电平达到标准低电平USL的输入电平。它表示使与非门开通的最小输入电平。一般TTL门电路的UON1.8V。(8)输入关门电平UOFF:使与非门的输出电平达到标准高电平USH的输入电平。它表示使与非门关断所需的最大输入电平。一般TTL门电路的UOFF0.8V。(9)高电平输入电流IIH:输入为高电平时的输入电流,也即当前级输出为高电平时,本级输入电路造成的前级拉电流。(10)低电平输入电流IIL:输入为低电平时的输出电流,也即当前级输出为低电平时,本级输入电路造成的前级灌电流。(11)平均传输时间tpd:信号通过与非门时所需的平均延迟时间。在工作频率较高的数字电路中,信号经过多级传输后造成的时间延迟,会影响电路的逻辑功能。(12)空载功耗:与非门空载时电源总电流ICC与电源电压VCC的乘积。,1.6.3 CMOS集成门电路,1、CMOS非门,(1)uA0V时,TN截止,TP导通。输出电压uYVDD10V。(2)uA10V时,TN导通,TP截止。输出电压uY0V。,2、CMOS与非门、或非门、与门、或门、与或非门和异或门,CMOS与非门,A、B当中有一个或全为低电平时,TN1、TN2中有一个或全部截止,TP1、TP2中有一个或全部导通,输出Y为高电平。,只有当输入A、B全为高电平时,TN1和TN2才会都导通,TP1和TP2才会都截止,输出Y才会为低电平。,CMOS或非门,只要输入A、B当中有一个或全为高电平,TP1、TP2中有一个或全部截止,TN1、TN2中有一个或全部导通,输出Y为低电平。,只有当A、B全为低电平时,TP1和TP2才会都导通,TN1和TN2才会都截止,输出Y才会为高电平。,与门,或门,CMOS与或非门,CMOS异或门,3、CMOS OD门、TSL门及传输门,CMOS OD门,CMOS TSL门,CMOS 传输门,C0、 ,即C端为低电平(0V)、 端为高电平(VDD)时, TN和TP都不具备开启条件而截止,输入和输出之间相当于开关断开一样。C1、 ,即C端为高电平(VDD)、 端为低电平(0V)时,TN和TP都具备了导通条件,输入和输出之间相当于开关接通一样,uoui。,4、CMOS数字电路的特点及使用时的注意事项,(1)CMOS电路的工作速度比TTL电路的低。(2)CMOS带负载的能力比TTL电路强。(3)CMOS电路的电源电压允许范围较大,约在318V,抗干扰能力比TTL电路强。(4)CMOS电路的功耗比TTL电路小得多。门电路的功耗只有几个W,中规模集成电路的功耗也不会超过100W。(5)CMOS集成电路的集成度比TTL电路高。(6)CMOS电路适合于特殊环境下工作。(7)CMOS电路容易受静电感应而击穿,在使用和存放时应注意静电屏蔽,焊接时电烙铁应接地良好,尤其是CMOS电路多余不用的输入端不能悬空,应根据需要接地或接高电平。,CMOS数字电路的特点,使用集成电路时的注意事项,(1)对于各种集成电路,使用时一定要在推荐的工作条件范围内,否则将导致性能下降或损坏器件。,(2)数字集成电路中多余的输入端在不改变逻辑关系的前提下可以并联起来使用,也可根据逻辑关系的要求接地或接高电平。TTL电路多余的输入端悬空表示输入为高电平;但CMOS电路,多余的输入端不允许悬空,否则电路将不能正常工作。,(3)TTL电路和CMOS电路之间一般不能直接连接,而需利用接口电路进行电平转换或电流变换才可进行连接,使前级器件的输出电平及电流满足后级器件对输入电平及电流的要求,并不得对器件造成损害。,利用半导体器件的开关特性,可以构成与门、或门、非门、与非门、或非门、与或非门、异或门等各种逻辑门电路,也可以构成在电路结构和特性两方面都别具特色的三态门、OC门、OD门和传输门。随着集成电路技术的飞速发展,分立元件的数字电路已被集成电路所取代。TTL电路的优点是开关速度较高,抗干扰能力较强,带负载的能力也比较强,缺点是功耗较大。CMOS电路具有制造工艺简单、功耗小、输入阻抗高、集成度高、电源电压范围宽等优点,其主要缺点是工作速度稍低,但随着集成工艺的不断改进,CMOS电路的工作速度已有了大幅度的提高。,本节小结,数字电子技术,湖南计算机高等专科学校李中发 胡锦 制作,第章 组合逻辑电路,学习要点: 组合电路的分析方法和设计方法 利用数据选择器和可编程逻辑器件进行逻辑设计的方法 加法器、编码器、译码器等中规模集成电路的逻辑功能和使用方法,第章 组合逻辑电路,2.1 组合逻辑电路的分析与设计方法,2.2 加法器,2.3 数值比较器,2.4 编码器,2.5 译码器,2.6 数据选择器,2.7 数据分配器,2.8 只读存储器(ROM),2.9 可编程逻辑器件(PLD),退出,.1 组合逻辑电路的分析与设计方法,2.1.1 组合逻辑电路的分析方法,2.1.2 组合逻辑电路的设计方法,2.1.3 组合逻辑电路中的竞争冒险,退出,组合电路:输出仅由输入决定,与电路当前状态无关;电路结构中无反馈环路(无记忆),2.1.1 组合逻辑电路的分析方法,逻辑图,逻辑表达式,1,1,最简与或表达式,化简,2,2,从输入到输出逐级写出,最简与或表达式,3,真值表,3,4,电路的逻辑功能,当输入A、B、C中有2个或3个为1时,输出Y为1,否则输出Y为0。所以这个电路实际上是一种3人表决用的组合电路:只要有2票或3票同意,表决就通过。,4,逻辑图,逻辑表达式,例:,最简与或表达式,真值表,用与非门实现,电路的输出Y只与输入A、B有关,而与输入C无关。Y和A、B的逻辑关系为:A、B中只要一个为0,Y=1;A、B全为1时,Y=0。所以Y和A、B的逻辑关系为与非运算的关系。,电路的逻辑功能,真值表,电路功能描述,2.1.2 组合逻辑电路的设计方法,例:设计一个楼上、楼下开关的控制逻辑电路来控制楼梯上的路灯,使之在上楼前,用楼下开关打开电灯,上楼后,用楼上开关关灭电灯;或者在下楼前,用楼上开关打开电灯,下楼后,用楼下开关关灭电灯。,设楼上开关为A,楼下开关为B,灯泡为Y。并设A、B闭合时为1,断开时为0;灯亮时Y为1,灯灭时Y为0。根据逻辑要求列出真值表。,1,穷举法,1,2,逻辑表达式或卡诺图,最简与或表达式,化简,3,2,已为最简与或表达式,4,逻辑变换,5,逻辑电路图,用与非门实现,用异或门实现,真值表,电路功能描述,例:用与非门设计一个举重裁判表决电路。设举重比赛有3个裁判,一个主裁判和两个副裁判。杠铃完全举上的裁决由每一个裁判按一下自己面前的按钮来确定。只有当两个或两个以上裁判判明成功,并且其中有一个为主裁判时,表明成功的灯才亮。,设主裁判为变量A,副裁判分别为B和C;表示成功与否的灯为Y,根据逻辑要求列出真值表。,1,穷举法,1,2,2,逻辑表达式,3,卡诺图,最简与或表达式,化简,4,5,逻辑变换,6,逻辑电路图,3,化简,4,1,1,1,Y=,AB,+AC,5,6,2.1.3 组合电路中的竞争冒险,1、产生竞争冒险的原因,在组合电路中,当输入信号的状态改变时,输出端可能会出现不正常的干扰信号,使电路产生错误的输出,这种现象称为竞争冒险。,产生竞争冒险的原因:主要是门电路的延迟时间产生的。,干扰信号,2、消除竞争冒险的方法,有圈相切,则有竞争冒险,增加冗余项,消除竞争冒险,本节小结,组合电路的特点:在任何时刻的输出只取决于当时的输入信号,而与电路原来所处的状态无关。实现组合电路的基础是逻辑代数和门电路。组合电路的逻辑功能可用逻辑图、真值表、逻辑表达式、卡诺图和波形图等5种方法来描述,它们在本质上是相通的,可以互相转换。组合电路的设计步骤:逻辑图写出逻辑表达式逻辑表达式化简列出真值表逻辑功能描述。组合电路的设计步骤:列出真值表写出逻辑表达式或画出卡诺图逻辑表达式化简和变换画出逻辑图。在许多情况下,如果用中、大规模集成电路来实现组合函数,可以取得事半功倍的效果。,.2 加法器,2.2.1 半加器和全加器,2.2.2 加法器,2.2.3 加法器的应用,退出,1、半加器,2.2.1 半加器和全加器,能对两个1位二进制数进行相加而求得和及进位的逻辑电路称为半加器。,加数,本位的和,向高位的进位,1、全加器,能对两个1位二进制数进行相加并考虑低位来的进位,即相当于3个1位二进制数相加,求得和及进位的逻辑电路称为全加器。,Ai、Bi:加数, Ci-1:低位来的进位,Si:本位的和, Ci:向高位的进位。,全加器的逻辑图和逻辑符号,用与门和或门实现,用与或非门实现,再取反,得:,实现多位二进制数相加的电路称为加法器。,1、串行进位加法器,2.2.2 加法器,构成:把n位全加器串联起来,低位全加器的进位输出连接到相邻的高位全加器的进位输入。,特点:进位信号是由低位向高位逐级传递的,速度不高。,2、并行进位加法器(超前进位加法器),进位生成项,进位传递条件,进位表达式,和表达式,4位超前进位加法器递推公式,超前进位发生器,加法器的级连,集成二进制4位超前进位加法器,2.2.2 加法器的应用,1、8421 BCD码转换为余3码,BCD码+0011=余3码,2、二进制并行加法/减法器,3、二-十进制加法器,修正条件,本节小结,能对两个1位二进制数进行相加而求得和及进位的逻辑电路称为半加器。能对两个1位二进制数进行相加并考虑低位来的进位,即相当于3个1位二进制数的相加,求得和及进位的逻辑电路称为全加器。实现多位二进制数相加的电路称为加法器。按照进位方式的不同,加法器分为串行进位加法器和超前进位加法器两种。串行进位加法器电路简单、但速度较慢,超前进位加法器速度较快、但电路复杂。加法器除用来实现两个二进制数相加外,还可用来设计代码转换电路、二进制减法器和十进制加法器等。,.3 数值比较器,2.3.1 1位数值比较器,2.3.2 4位数值比较器,2.3.3 数值比较器的位数扩展,退出,用来完成两个二进制数的大小比较的逻辑电路称为数值比较器,简称比较器。,2.3.1 1位数值比较器,设AB时L11;AB时L21;AB时L31。得1位数值比较器的真值表。,逻辑表达式,逻辑图,2.3.2 4位数值比较器,真值表中的输入变量包括A3与B3、A2与B2、A1与B1 、A0与B0和A与B的比较结果,AB、AB、 AB必须预先预置为0 ,最低4位的级联输入端AB和A=B 必须预先预置为0、1。,并联扩展,本节小结,在各种数字系统尤其是在计算机中,经常需要对两个二进制数进行大小判别,然后根据判别结果转向执行某种操作。用来完成两个二进制数的大小比较的逻辑电路称为数值比较器,简称比较器。在数字电路中,数值比较器的输入是要进行比较的两个二进制数,输出是比较的结果。利用集成数值比较器的级联输入端,很容易构成更多位数的数值比较器。数值比较器的扩展方式有串联和并联两种。扩展时需注意TTL电路与CMOS电路在连接方式上的区别。,.4 编码器,2.4.1 二进制编码器,2.4.2 二-十进制编码器,退出,实现编码操作的电路称为编码器。,2.4.1 二进制编码器,1、3位二进制编码器,输入8个互斥的信号输出3位二进制代码,真值表,逻辑表达式,逻辑图,2、3位二进制优先编码器,在优先编码器中优先级别高的信号排斥级别低的,即具有单方面排斥的特性。,设I7的优先级别最高,I6次之,依此类推,I0最低。,真值表,逻辑表达式,逻辑图,8线-3线优先编码器,如果要求输出、输入均为反变量,则只要在图中的每一个输出端和输入端都加上反相器就可以了。,2、集成3位二进制优先编码器,集成3位二进制优先编码器74LS148,集成3位二进制优先编码器74LS148的真值表,输入:逻辑0(低电平)有效,输出:逻辑0(低电平)有效,集成3位二进制优先编码器74LS148的级联,16线-4线优先编码器,2.4.2 二-十进制编码器,1、8421 BCD码编码器,输入10个互斥的数码输出4位二进制代码,真值表,逻辑表达式,逻辑图,2、8421 BCD码优先编码器,真值表,逻辑表达式,逻辑图,3、集成10线-4线优先编码器,本节小结,用二进制代码表示特定对象的过程称为编码;实现编码操作的电路称为编码器。编码器分二进制编码器和十进制编码器,各种译码器的工作原理类似,设计方法也相同。集成二进制编码器和集成十进制编码器均采用优先编码方案。,.5 译码器,2.5.1 二进制译码器,2.5.2 二-十进制译码器,2.5.3 显示译码器,退出,2.5.4 译码器的应用,把代码状态的特定含义翻译出来的过程称为译码,实现译码操作的电路称为译码器。,2.5.1 二进制译码器,设二进制译码器的输入端为n个,则输出端为2n个,且对应于输入代码的每一种状态,2n个输出中只有一个为1(或为0),其余全为0(或为1)。,二进制译码器可以译出输入变量的全部状态,故又称为变量译码器。,1、3位二进制译码器,真值表,输入:3位二进制代码输出:8个互斥的信号,逻辑表达式,逻辑图,电路特点:与门组成的阵列,2、集成二进制译码器74LS138,A2、A1、A0为二进制译码输入端, 为译码输出端(低电平有效),G1、 、为选通控制端。当G11、 时,译码器处于工作状态;当G10、时,译码器处于禁止状态。,真值表,输入:自然二进制码,输出:低电平有效,3、74LS138的级联,二-十进制译码器的输入是十进制数的4位二进制编码(BCD码),分别用A3、A2、A1、A0表示;输出的是与10个十进制数字相对应的10个信号,用Y9Y0表示。由于二-十进制译码器有4根输入线,10根输出线,所以又称为4线-10线译码器。,2.5.2 二-十进制译码器,1、8421 BCD码译码器,把二-十进制代码翻译成10个十进制数字信号的电路,称为二-十进制译码器。,真值表,逻辑表达式,逻辑图,将与门换成与非门,则输出为反变量,即为低电平有效。,、集成8421 BCD码译码器74LS42,2.5.3 显示译码器,1、数码显示器,用来驱动各种显示器件,从而将用二进制代码表示的数字、文字、符号翻译成人们习惯的形式直观地显示出来的电路,称为显示译码器。,b=c=f=g=1,a=d=e=0时,c=d=e=f=g=1,a=b=0时,共阴极,2、显示译码器,真值表仅适用于共阴极LED,真值表,a的卡诺图,b的卡诺图,c的卡诺图,d的卡诺图,e的卡诺图,f的卡诺图,g的卡诺图,逻辑表达式,逻辑图,2、集成显示译码器74LS48,引脚排列图,功能表,辅助端功能,2.5.4 译码器的应用,1、用二进制译码器实现逻辑函数,画出用二进制译码器和与非门实现这些函数的接线图。,写出函数的标准与或表达式,并变换为与非-与非形式。,2、用二进制译码器实现码制变换,十进制码,8421码,十进制码,余3码,十进制码,2421码,3、数码显示电路的动态灭零,本节小结,把代码状态的特定含义翻译出来的过程称为译码,实现译码操作的电路称为译码器。实际上译码器就是把一种代码转换为另一种代码的电路。译码器分二进制译码器、十进制译码器及字符显示译码器,各种译码器的工作原理类似,设计方法也相同。二进制译码器能产生输入变量的全部最小项,而任一组合逻辑函数总能表示成最小项之和的形式,所以,由二进制译码器加上或门即可实现任何组合逻辑函数。此外,用4线-16线译码器还可实现BCD码到十进制码的变换。,.6 数据选择器,2.6.1 4选1数据选择器,2.6.2 集成数据选择器,2.6.3 用数据选择器实现组合逻辑函数,退出,2.6.1 4选1数据选择器,真值表,逻辑表达式,地址变量,输入数据,由地址码决定从路输入中选择哪路输出。,逻辑图,2.6.2 集成数据选择器,集成双4选1数据选择器74LS153,集成8选1数据选择器74LS151,74LS151的真值表,数据选择器的扩展,2.6.2 用数据选择器实现逻辑函数,基本原理,数据选择器的主要特点:,(1)具有标准与或表达式的形式。即:,(2)提供了地址变量的全部最小项。,(3)一般情况下,Di可以当作一个变量处理。,因为任何组合逻辑函数总可以用最小项之和的标准形式构成。所以,利用数据选择器的输入Di来选择地址变量组成的最小项mi,可以实现任何所需的组合逻辑函数。,基本步骤,确定数据选择器,确定地址变量,2,1,n个地址变量的数据选择器,不需要增加门电路,最多可实现n1个变量的函数。,3个变量,选用4选1数据选择器。,A1=A、A0=B,逻辑函数,1,选用74LS153,2,74LS153有两个地址变量。,求Di,3,(1)公式法,函数的标准与或表达式:,4选1数据选择器输出信号的表达式:,比较L和Y,得:,3,画连线图,4,4,求Di的方法,(2)真值表法,求Di的方法,(3)图形法,用数据选择器实现函数:,例,选用8选1数据选择器74LS151,设A2=A、A1=B、A0=C,求Di,画连线图,本节小结,数据选择器是能够从来自不同地址的多路数字信息中任意选出所需要的一路信息作为输出的组合电路,至于选择哪一路数据输出,则完全由当时的选择控制信号决定。数据选择器具有标准与或表达式的形式,提供了地址变量的全部最小
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
提示  人人文库网所有资源均是用户自行上传分享,仅供网友学习交流,未经上传用户书面授权,请勿作他用。
关于本文
本文标题:0597、《数字电子技术》 电子教案
链接地址:https://www.renrendoc.com/p-6127032.html

官方联系方式

2:不支持迅雷下载,请使用浏览器下载   
3:不支持QQ浏览器下载,请用其他浏览器   
4:下载后的文档和图纸-无水印   
5:文档经过压缩,下载后原文更清晰   
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

网站客服QQ:2881952447     

copyright@ 2020-2024  renrendoc.com 人人文库版权所有   联系电话:400-852-1180

备案号:蜀ICP备2022000484号-2       经营许可证: 川B2-20220663       公网安备川公网安备: 51019002004831号

本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知人人文库网,我们立即给予删除!