ASK调制及解调实验报告_第1页
ASK调制及解调实验报告_第2页
ASK调制及解调实验报告_第3页
ASK调制及解调实验报告_第4页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、.实验三 ASK调制及解调实验一、实验目的1、 掌握用键控法产生ASK信号的方法。2、 掌握ASK非相干解调的原理。二、实验器材1、 主控&信号源、9号模块 各一块2、 双踪示波器 一台3、 连接线 若干三、实验原理1、实验原理框图ASK调制及解调实验原理框图2、实验框图说明ASK调制是将基带信号和载波直接相乘。已调信号经过半波整流、低通滤波后,通过门限判决电路解调出原始基带信号。四、实验步骤实验项目一 ASK调制概述:ASK调制实验中,ASK(振幅键控)载波幅度是随着基带信号的变化而变化。在本项目中,通过调节输入PN序列频率或者载波频率,对比观测基带信号波形与调制输出波形,观测每个码元对应的

2、载波波形,验证ASK调制原理。1、关电,按表格所示进行连线。源端口目的端口连线说明信号源:PN模块9:TH1(基带信号)调制信号输入信号源:128KHz模块9:TH14(载波1)载波输入模块9:TH4(调制输出)模块9:TH7(解调输入)解调信号输入2、开电,设置主控菜单,选择【主菜单】【通信原理】【ASK数字调制解调】。将9号模块的S1拨为0000。3、此时系统初始状态为:PN序列输出频率32KHz,调节128KHz载波信号峰峰值为3V。4、实验操作及波形观测。(1)分别观测调制输入和调制输出信号:以9号模块TH1为触发,用示波器同时观测9号模块TH1和TH4,验证ASK调制原理。(2)将P

3、N序列输出频率改为64KHz,观察载波个数是否发生变化。实验项目二 ASK解调概述:实验中通过对比观测调制输入与解调输出,观察波形是否有延时现象,并验证ASK解调原理。观测解调输出的中间观测点,如:TP4(整流输出),TP5(LPF-ASK),深入理解ASK解调过程。1、 保持实验项目一中的连线及初始状态。2、 对比观测调制信号输入以及解调输出:以9号模块TH1为触发,用示波器同时观测9号模块TH1和TH6,调节W1直至二者波形相同;再观测TP4(整流输出)、TP5(LPF-ASK)两个中间过程测试点,验证ASK解调原理。3、 以信号源的CLK为触发,测9号模块LPF-ASK,观测眼图。五、实

4、验报告1、分析实验电路的工作原理,简述其工作过程;ASK是一种相对简单的调制方式,幅移键控(ASK)相当于模拟信号中的调幅,只不过与载频信号相乘的是二进制数码而已。幅移就是把频率、相位作为常量,而把振幅作为变量,信息比特是通过载波的幅度来传递的。二进制振幅键控(2ASK), 由于调制信号只有0或1两个电平,相乘的结果相当于将载频或者关断,或者接通,它的实际意义是当调制的数字信号为“1”时,传输载波;当调制的数字信号为“0”时,不传输载波。其中s(t)为基带矩形脉冲。一般载波信号用余弦信号,而调制信号是把数字序列转换成单极性的基带矩形脉冲序列,而这个通断键控的作用就是把这个输出与载波相乘,就可以把频谱搬移到载波频率附近。2、分析ASK调制解调原理。(1)数字调制的概念一个二进制的ASK信号可视为一个单极性脉冲序列与一个高频载波的乘积,幅度键控可以通过乘法器和开关电路来实现。载波在数字信号1或0的控制下通或断,在信号为1的状态载波接通,此时传输信道上有载波出现;在信号为0的状态下,载波被关断,此时传输信道上无载波传送。那么在接收端我们就可以根据载波的有无还原出数字信号的1和0。对于二进制幅度键控信号

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论