计算机组成原理课程设计论文0_第1页
计算机组成原理课程设计论文0_第2页
计算机组成原理课程设计论文0_第3页
计算机组成原理课程设计论文0_第4页
计算机组成原理课程设计论文0_第5页
已阅读5页,还剩16页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇

2、袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈

3、袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆

4、羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇

5、蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇

6、蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅

7、蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆

8、蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆

9、蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄

10、螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅

11、螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅

12、袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃

13、衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄

14、羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅

15、羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃

16、薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃

17、蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄

18、蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂

19、蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂

20、螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀

21、螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁

22、袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁

23、袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿

24、袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀

25、羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁

26、薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿

27、薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿

28、蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀

29、蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈

30、螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈

31、螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿

32、螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇

33、袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇

34、袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈

35、袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃蒀羅腿芈葿蚅羂膄蒈螇膈肀蒇袀羀荿薇蕿膆芅薆蚁罿膁薅螄膄肇薄羆羇蒆薃蚆袀莂薂螈肅芈薁袀袈膄薁薀肄肀蚀蚂袆莈虿螅肂芄蚈袇袅膀蚇蚇肀膆蚆蝿羃蒅蚅袁膈莁蚅羄羁芇蚄蚃膇膃芀螅羀聿荿袈膅莇荿薇羈芃莈螀膃艿莇袂肆膅莆羄衿蒄莅蚄肄莀莄螆袇芆莃衿肃膂蒃薈袆肈蒂蚁肁莇蒁袃袄莃 计算机组成原理课程设计论文 计算机组成原理课程设计说明书 院 (系): 计算机科学与工程学院专 业: 计算机科学与技术学生姓名: 莫兴伟学 号: 0900310321指导教师: 陈智勇 题 目: 设计

36、求负数平方和的cisc模型计算机1、课程设计的题目和 要求连续输入5个有符号整数(用8位二进制补码表示,十六进制数输入)求所有负数的平方和并输出显示。说明:5个有符号数从外部输入;一定要使用符号位(比如说sf),并且要使用负的时候转移(比如 说js)或不为负的时候转移(比如说jns)指令。课程设计完成的内容1.完成系统的总体设计,画出模型机数据通路框图;2.设计微程序控制器(cisc模型计算机)的逻辑结构框图;3.设计机器指令格式和指令系统;4.设计时序产生器电路;5.设计所有机器指令的微程序流程图;6.设计操作控制器单元;在cisc模型计算机中,设计的内容包括微指令格式(建议采用全水平型微指

37、令)、微指令代码表(根据微程序流程图和微指令格式来设计)和微程序控制器硬件电路(包括地址转移逻辑电路、微地址寄存器、微命令寄存器和控制存储器等。具体电路根据微程序控制器的逻辑结构框图、微指令格式和微指令代码来设计)。7.设计模型机的所有单元电路,并用vhdl语言(也可使用gdf文件-图形描述文件)对模型机中的各个部件进行编程,并使之成为一个统一的整体,即形成顶层电路或顶层文件;8.由给出的题目和设计的指令系统编写相应的汇编语言源程序;9.根据设计的指令格式,将汇编语言源程序手工转换成机器语言源程序,并将其设计到模型机中的rom中去;10.使用eda软件进行功能仿真,要保证其结果满足题目的要求;

38、(其中要利用eda软件提供的波形编辑器,选择合适的输入输出信号及中间信号进行调试。) 2、系统的总体设计: 整个系统数据通路如下所示: 本模型机中的指令系统中共有9条基本指令,下表列出了每条指令的格式、汇编符号和指令功能。1、 模型机的指令系统和所有指令的指令格式1)i/o指令输入指令(in1)格式: 输入指令(out1)格式: 2)转移指令 3)比较指令和相加指令比较指令(cmp)和相加指令(add)的格式:4)mov指令 5)乘法指令 6)自减指令自减 其中,对rs和rd的规定: t1、t2、t3、t4与clr、q之间的关系图clrq t1t2t3t4 现在,我们开始微程序控制器的设计,它

39、包括以下几部分工作:(1)根据指令格式和指令系统设计所有机器指令的微程序流程图,并确定每条微指令的微地址和后继微地址;(2)设计微指令格式和微指令代码表;(3)设计地址转移逻辑电路;(4)设计微程序控制器中的其它逻辑单元电路,包括微地址寄存器、微命令寄存器和控制存储器;(5)设计微程序控制器的顶层电路。 首先做第一步,根据指令格式和指令系统设计所有机器指令的微程序流程图.对于我设计的模型机,对应的指令流程图如下所示: 第二步,指令流程图设计完成后,开始设计微指令格式和微指令代码表,按照要求,cisc模型机系统使用的微指令采用全水平型微指令,字长为25位,其中微命令字段为17位,p字段为2位,后

40、继微地址为6位,其格式如下:24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0后继微地址按照这个格式,再根据我设计的指令流程图,可以得到:由微指令格式和微程序流程图编写的微指令代码表如下所示,在微指令的代码表中微命令字段从左边到右代表的微命令信号依次为:load、ldpc、ldar、ldir、ldri、rd_b、rs_b、s1、s0、alu_b、ldac、lddr、wr、cs、sw_b、led_b、ldfr。第三步:设计好了微指令代码表之后,我们可以开始设计地址转移逻辑电路 地址转移逻辑电路是根据微程序流程图3-2中

41、的棱形框部分及多个分支微地址,利用微地址寄存器的异步置“1”端,实现微地址的多路转移。由于微地址寄存器中的触发器异步置“1”端低电平有效,与a4a0对应的异步置“1”控制信号se5se1的逻辑表达式为:(a5的异步置“1”端se6实际未使用)p(2)t4 se4=i7p(1)t4 se3=i6p(1)t4 se2=i5p(1)t4 se1=i4p(1)t4需要注意的是:地址转移逻辑电路中异步置“1”信号se5se1表达式的确定与p字段测试时转移微地址的确定密切相关.地址转移逻辑电路的实现代码如下: library ieee;use ieee.std_logic_1164.all; entity

42、 addr is port(i7,i6,i5,i4:in std_logic; fz,fc,t4,p1,p2:in std_logic;se6,se5,se4,se3,se2,se1:out std_logic ); end addr;architecture a of addr is beginse6<=1;se5<=not (not fc or fz ) and p2 and t4);se4<=not(i7 and p1 and t4);se3<=not(i6 and p1 and t4);se2<=not(i5 and p1 and t4);se1<=

43、not(i4 and p1 and t4);end a;编译通过之后生成图形符号,我们的地址转移逻辑电路就完成了。接下来继续生成微控制器里面的其他器件和电路:我们先看看微地址寄存器aa的设计,它的内部电路图如下所示: 可以看得出,aa中带有异步清“0”和异步置“1”功能的触发器mmm,它的实现代码如下: library ieee;use ieee.std_logic_1164.all;entity mmm isport(se:in std_logic;t2:in std_logic;d:in std_logic;clr:in std_logic;ua:out std_logic);end mm

44、m;architecture a of mmm isbeginprocess(clr,se,t2)beginif(clr=0) thenua<=0;elsif(se=0)thenua<=1;elsif(t2event and t2=1) thenua<=d;end if;end process;end a;编译成功后生成mmm元器件,然后连接个个触发器并添加输入输出端,完成后的图形文件如下所示:生成了aa之后,在分别生成controm mcommand f1 f2 f3等5个器件即可生成一个完整的微程序控制器crom,其内部电路如下所示: 接下来该做的是控制存储器contro

45、m,实现它的vhdl源程序如下所示: library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity controm isport(addr: in std_logic_vector(5 downto 0);ua:out std_logic_vector(5 downto 0);d:out std_logic_vector(18 downto 0);end controm;architecture a of controm issignal

46、dataout: std_logic_vector(24 downto 0);beginprocess(addr)begincase addr iswhen "000000" => dataout<="1110011001001111000000010" when "000001" => dataout<="1000010001101111000100100" when "000010" => dataout<="100101100100101101

47、0000000" when "000011" => dataout<="1000111001001011000000000" when "000100" => dataout<="1000001001011111000000101" when "000101" => dataout<="1000011011001111100000000" when "000110" => dataout<=&quo

48、t;1000001001011111000000111" when "000111" => dataout<="1000111000001111000000000" when "001000" => dataout<="1000111001001101000000000" when "001001" => dataout<="1110011001001111000000011" when "001010" =&

49、gt; dataout<="1000010001101111000000100" when "001011" => dataout<="1110011001001111001100000" when "001100" => dataout<="1000010001101111000000110" when "001101" => dataout<="1000001001101111000010010" when &

50、quot;001110" => dataout<="1110011001001111000010011" when "001111" => dataout<="1000010001000110000000000" when "010010" => dataout<="1000111100001111000000000" when "010011" => dataout<="010001100100101100

51、0000000" when "100000" => dataout<="0100011001001011000000000" when "110000" => dataout<="1000011001001111000000000" when "100100" => dataout<="1000001001011111000100101" when "100101" => dataout<=&quo

52、t;1000111110001111000000000" when others => dataout<="1110011001001111000000010" end case;ua(5 downto 0)<=dataout(5 downto 0);d(18 downto 0)<=dataout(24 downto 6);end process;end a;程序中的25位控制信号就是按照上面确定的微指令代码表确定的。编译通过后生成了控制存储器,接下来该生成微命令寄存器mcommand,同样也是通过vhdl来描述即可,它的实现代码如下所示:

53、library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity mcommand isport(t2,t3,t4,i3,i2,i1,i0:in std_logic;o:in std_logic_vector(18 downto 0); p1,p2,load,ldpc,ldar,ldir,ldr0,ldr1,ldr2,ldr3,r0_b,r1_b,r2_b,r3_b,s1,s0,alu_b,ldac,lddr,wr,cs,sw_b,led_b,

54、ldfr:out std_logic);end mcommand;architecture a of mcommand issignal dataout:std_logic_vector(18 downto 0);beginprocess(t2)beginif(t2event and t2=1)thendataout(18 downto 0)<=o(18 downto 0);end if;p2<=dataout(0);p1<=dataout(1);ldfr<=dataout(2) and t4;led_b<=dataout(3);sw_b<=dataout(

55、4);cs<=dataout(5);wr<=dataout(6)or(not t3);lddr<=dataout(7) and t4;ldac<=dataout(8) and t4;alu_b<=dataout(9);s0<=dataout(10);s1<=dataout(11);r3_b<=(dataout(13)or(not i1)or (not i0)and(dataout(12)or(not i3)or (not i2); r2_b<=(dataout(13)or(not i1)or i0)and(dataout(12)or(not

56、 i3)or i2); r1_b<=(dataout(13)or(not i0)or i1)and(dataout(12)or(not i2)or i3); r0_b<=(dataout(13)or i1 or i0)and(dataout(12)or i3 or i2);ldr3<=t4 and dataout(14)and i1 and i0;ldr2<=t4 and dataout(14)and i1 and (not i0);ldr1<=t4 and dataout(14)and (not i1) and i0;ldr0<=t4 and dataout(14)and (not i1) and (not i0);ldir<=dataout(15)and t3;

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论