




版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、可编程逻辑器件原理、应用与实验实验:实验一-四学院:电子工程学院姓名: 王 亮学号:1302121480批次:第7批实验一:4位减法、加法器设计学号:1302121480 姓名:王亮 批次:第7批一、实验内容:(1) 任务:设计带借位、进位的4位二进制减法、加法器。(2) 要求:要考虑借位、进位。在软件环境下,编写源文件,并用器件实现。二、源程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity F_Adder isport(c
2、in,slect : in std_logic;C : out std_logic_vector(3 downto 0);cout : out std_logic);end F_Adder;architecture behave of F_Adder issignal iint:std_logic_vector(4 downto 0);signal dint:std_logic_vector(4 downto 0);signal AA,BB:std_logic_vector(4 downto 0);begin process (cin,slect)beginif(slect='0
3、9; )thenAA <="01010" BB <="00011"iint <= AA + BB + cin;C <= iint(3 downto 0);cout <= iint(4);elsif(slect = '1')thenAA <="01010" BB <="00011"dint <= AA - BB - cin;C <= dint(3 downto 0);cout <= dint(4); end if; end process ;
4、end behave;三、实验步骤:1. 在G盘新建文件夹,命名为Adder,打开操作软件diamond 2.0.2. 建工程并选器件新建工程file->new->project->next,然后在弹出来的对话框中填写相关内容Adder,在Location栏上选用上面已经建好的文件夹(G/Adder);然后点击NEXT,在新弹出的对话框NEW PROJECT点击NEXT在又出现的对话框中选择相应的芯片family选择lattice XP2;Device选择LFXP2-5E;Part Names选择LAXP2-5E-6TN144C点击NEXT即可完成工程的新建;如图2.13.
5、建立源文件新建项目file->new->file在对话框New File中,选择vhdl;在name栏中输入文件名(),点击FINISH,在Lattice Diamond主界面出现F_adder.vhd,在TextEditer中编辑输入vhdl语言源程序并保存。4. 综合 在主界面Process窗口中双击Translate Design,对所编辑的代码进行综合。图2.15. 管脚分配在Diamond主界面中,点击Tools->Spreadsheet view,打开Spreadsheet view框。在pin一栏中填入各输入输出映射的管脚。分配完管脚之后点击保存,在主界面Pro
6、cess框中,对Map Design,Place&Route Design,Export Files选中所有的选项,然后右键选中JEDEC File,单击其下拉菜单中的Rerun All;6.结构图:7. 烧写程序在Diamond主界面中,进入Tools->Programmer,点击OK键,然后点击图标,在State栏出现PASS,即说明烧写成功。四、实验结果:1. 在不按任何键时,即计算借位为1的减法运算。LED灯的亮灭情况结果为:亮:38、39 、43灭:37、40即结果为:0110 向前一位的借位为0。与1010-0011-0001=0110的结果相符。2. 按下53时,即
7、计算借位为0的减法。LED灯的亮灭情况为: 亮:37、43 灭:38、39、40 即结果为:0111 向前一位的借位为0。与1010-0011=0111的结果相符。3. 按下54时,即计算进位为1的加法。LED灯的亮灭情况为: 亮:40、43灭:37、38、39即结果为:1110 向前一位进位为0。与1001+0011+0001=1110的结果相符。4. 按下52和53,即计算进位为0的加法。LED灯的亮灭情况为: 亮:38、43 灭:37、39、40 即结果为:1101 向前一位进位为0。与1010+0011=1101的结果相符。五、实验心得体会: 通过本次实验,学习了diamond 2.0
8、软件的使用,特别是器件的选择、工程建立、管脚分配和烧写程序等。学习并应用VHDL:语言进行了一次实际的编程,学习了在系统可编程技术和可编程器件的原理。让我对加法器和减法器的工作原理有了一些了解,熟悉了VHDL语言的源文件结构组成、基本要素、基本语句及层次化设计的思路。能够通过编译修改程序的错误,优化程序的结构,为以后程序的设计和实现打下了基础。 值得注意的是,编写程序的时候,要参考电路板的输入输出引脚,四位加法器由于输入引脚有限,所以采用内置数据进行加法减法运算。程序编写时,vhdl语句本身是不支持加减运算,所以用+,-,需要使用use ieee.std_logic_arith.all。实验二
9、:序列检测器的设计与实现学号:1302121480 姓名:王亮 批次:第7批一、实验内容:(1 ) 任务:设计序列检测器。 (2 )功能要求:检测器有一个输入端 X ,被检测的信号为二进制序列串行输入,检测器有一个输出端Z,当二进制序列连续有四个1时,输出为1 ,其余情况均输出为0 。如: X:1101111110110, Z:0000001110000。二、源程序:LIBRARY IEEE;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity xulie
10、isport(clk:in std_logic;X:in std_logic;Y:out std_logic);end xulie;architecture behave of xulie istype states is(s0,s1,s2,s3);signal next_state,state:states:=s0;beginprocess(clk)begin if(rising_edge(clk) then state<=next_state; end if;end process;process(state)begin case state is when s0=> if(X
11、='1')then next_state<=s1; y<='0' elsif(X='0')then next_state<=s0; y<='0' end if; when s1=> if(X='1')then next_state<=s2; y<='0' elsif(X='0')then next_state<=s0; y<='0' end if; when s2=> if(X='1')the
12、n next_state<=s3; y<='0' elsif(X='0')then next_state<=s0; y<='0' end if; when s3=> if(X='1')then next_state<=s3; y<='1' elsif(X='0')then next_state<=s0; y<='0' end if; when others=>null; end case;end process;end be
13、have;三、实验过程 序列检测器用于检测一组或多组由二进制码组成的脉冲序列,在数字通信中有着广泛的应用。当序列检测器连接收到一组串行二进制码后, 如果这组码与检测器中预先设置的码相同,则输出1,否则输出0。采用状态机的设计方法是数字系统中一种常用的设计方法。在状态连续变化的系统设计中,采用状态机的设计思想有利于提高设计效率,增加程序的可读性,减少错误的发生机率。本实验中使用状态机进行序列检测器的设计,其状态转移图如图所示。按下X键表示输入的数字为0,松开表示输入的数字为1,刚开始时,按一下clk、两下clk、三下clk时输出结果为0,即qout为0,因为尚未出现4个1,当按第四下clk时,Y
14、为1,即检测到连续输入了4个1。四、管脚分配与结构图结构图如下:五、实验中的问题和体会该实验过程重点在于将输入的前三个数字储存起来,当用户输入X时,重新储存成一个新的四位序列,对此四位序列进行检测,如果为连续的四位1,则输出1,否则输出0。实验前应该了解实验任务,然后编写实验程序,编辑管脚,将程序下载到板子,有序进行,才能保证程序顺利进行。实验三:变模计数器的设计学号:1302121480 姓名:王亮 批次:第7批一、实验内容:(1) 任务:设计模为 9 ,11 ,13,15 的可变模计数器。 (2) 要求:能在键盘的控制下,实现变模计数。二、源程序:library ieee;use ieee
15、.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity counter isport(clk:in std_logic; key:in std_logic_vector(1 downto 0); clr:in std_logic; count:out std_logic_vector(3 downto 0);end counter;architecture behave of counter issignal sum:std_logic_vector(3 downto 0);beginprocess(clk,key,clr)begi
16、n if(clr='0')then sum<="0000" elsif(rising_edge(clk)then case(key) is when "00" => if(sum>="1000")then sum<="0000" else sum<=sum + 1; end if;when "01" => if(sum>="1010")then sum<="0000"else sum<=
17、sum+"0001"end if;when "10" => if(sum>="1100")then sum<="0000" else sum<=sum+"0001" end if;when "11" => if(sum>="1110")then sum<="0000"else sum<=sum+"0001"end if;when others=>null;end
18、case;end if;end process;count<=sum;end behave;三、实验过程 设计模为 9 ,11 ,13,15 的可变模计数器。通过key1,key0选择模值,当二者为0,0则,在00001000之间实现模值为9的循环计数;当二者为0,1则,在00001010之间实现模值为11的循环计数;当二者为1,0则,在00001100之间实现模值为13的循环计数;当二者为1,1则,在00001111之间实现模值为15的循环计数;并使用clr进行异步清零。四、管脚分配与结构图结构图如下:五、实验中的问题和体会实验主要是熟悉条件语句的使用,根据输入的不同,采用相应的模值计
19、数,并用led的亮灭表示出来。该实验对用户的输入进行判断,进行不同的模操作,当用户进行模9操作时,重点在于将cout与8进行比较,若大于等于8就赋为0,与8进行比较的原因在于cout储存的是上一个数,当完成9个脉冲时,cout存储的值是8。其他模值同理。实验中需要注意细节,比如引号的使用,单引号里面是一位二进制代码,当代码大于一位时用双引号。实验四:流水灯设计学号:1302121480 姓名:王亮 批次:第7批一、实验内容:(1)任务:设计实验使发光二极管循环点亮。(2)要求:使实验板上7 个发光二极管LDLD7 每隔3秒点亮一个,依次循环点亮。二、源程序:library ieee;use i
20、eee.std_logic_1164.all;entity light isport(clk:in std_logic; light_out:out std_logic_vector(7 downto 0);end light;architecture behave of light issignal temp:std_logic_vector(7 downto 0):="11111110"signal count:integer:=0;signal sum:integer:=0;beginprocess(clk)begin if rising_edge(clk) then
21、 if(sum>=150000000)then sum<=0; temp<=temp(6 downto 0)&temp(7); else sum<=sum+1; end if; end if;end process;light_out<=temp;end behave;三、实验过程 将clk引脚设置成21,将系统每个脉冲的上升沿给clk,系统的频率为50MHZ,每来一个脉冲,将sum加1,当sum= 28'd150000000,即每隔3秒, 对temp值进行做循环,相应的led就会做出变化,形成流水灯的效果。四、管脚分配与结构图结构图如下:五、实验
22、中的问题和体会该实验重点在于将系统的频率赋值给clk,系统的频率为50MHZ,然后如何获取3s时钟。为了可以循环进行灯的闪烁,定义一个新的变量temp,进行循环,每隔3秒,temp值不同,light_out的值也不同,对应于不同的灯的亮和灭。temp<=temp(6 downto 0)&temp(7);这一条程序作为关键代码十分精炼,只要对temp值进行循环左移就可以达到流水灯的效果。可编程逻辑器件原理、应用与实验实验:实验五-八学院:电子工程学院姓名: 王 亮学号:1302121480批次:第7批实验五:交通灯设计学号:1302121480 姓名:王亮 批次:第7批一、实验内容
23、:(1)任务:设计简易交通灯(2)要求:信号灯交互关闭和开启。二、源程序:library ieee;use ieee.std_logic_1164.all;entity traffic isport(clk:in std_logic; clr:in std_logic; s1:out std_logic_vector(2 downto 0);-red,yellow,green s2:out std_logic_vector(2 downto 0);end traffic;architecture behave of traffic issignal count:integer:=0;begin
24、 process(clk,clr) begin if(clr='0') then count<=0; s1<="111" s2<="111" elsif(rising_edge(clk)then count<=count+1; if(count<10)then s1<="011" s2<="110" elsif(count<13)then s1<="101" s2<="101" elsif(count
25、<23)then s1<="110" s2<="011" else count<=0; end if; end if; end process;end behave;三、实验过程 由设计任务要求可知,输入部分有:1)clk时钟频率输入,可由实验板上直接提供。2)复位按键clr,当按一下键,表示正常的系统复位,计数count=0,东西南北6个LED全灭状态, 紧接着正常工作。东西方向和南北方向各使用3个LED显示,红黄绿各代表红黄绿灯。手动按下clk,count开始加1计数,当count<10 ,东西方向红灯点亮,南北方向绿灯
26、点亮;当count<13,东西南北点亮黄灯;当count<23,东西方向绿灯点亮,南北方向红灯点亮;count清零,程序循环进行。四、管脚分配与结构图结构图如下:五、实验中的问题和体会该实验实现了简单的交通灯系统,南北、东西方向红黄绿灯循环工作。但整个系统存在很多不足之处,不易于在实际环境中采用。1)clk 采用手动输入,未使用系统时钟,显得不够智能;计时过程中,应该使用数码管显示当前的交通灯的停留时间2)未考虑左转,不适宜在车流量大的十字路口采用3)实际生活中,需要考虑紧急情况,可以添加紧急状态按键KEY,当按一下键,表示紧急情况发生,两个方向均为红灯亮,计时停止,蜂鸣器蜂鸣;当
27、再次按下键时,信号灯和计时器恢复原来状态,正常工作。实验六:出租车自动计费设计学号:1302121480 姓名:王亮 批次:第7批一、实验内容:(1) 任务:自动计费模块。 (2) 要求:按键后开始计费,不按键时停止计费。二、源程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fee isport(clk:in std_logic; enable:in std_logic; clr:in std_logic; fee_out:out std_logic_vector(7 down
28、to 0);end fee;architecture behave of fee issignal clk15,clk1:std_logic:='0'signal count,sum,sum1:integer:=0;signal feet:std_logic_vector(7 downto 0):="00000101"signal en:std_logic:='1'begin process(clk) begin if(rising_edge(clk)then if(count>=25000000)then count<=0; c
29、lk1<=not clk1; else count<=count+1; end if; if(sum>=16666666)then sum<=0; clk15<=not clk15; else sum<=sum+1; end if; end if; end process; process(clk1,enable) begin if(enable='0')then if(rising_edge(clk1)then if(sum1>=3)then en<='1' else sum1<=sum1+1; end i
30、f; end if; else sum1<=0; en<='0' end if; end process; process(en,clk15,clr) begin if(clr='0')then feet<="00000101" elsif(en='1')then if(rising_edge(clk15)then feet<=feet+1; end if; end if; end process; fee_out<=feet;end behave;三、实验过程 1. 能实现计费功能,计费标准为:
31、按行驶里程收费,起步费为5.00元,并在车行驶一段时间后再按开始增加计费。2. 实现功能:能预置起步费、并根据设定的单位时间计费。3. 实现模拟功能:能模拟汽车启动、停止、暂停等状态。4. 设计动态扫描电路:将车费显示出来,用LED显示实时显示出来。5. 用VHDL语言设计符合上述功能要求的出租车计费器,并用层次化设计方法设计该电路。6. 完成电路全部设计后,通过系统实验箱下载验证设计的正确性。四、管脚分配与结构图结构图如下:五、实验中的问题和体会出租车计费器系统的设计已全部完成,能按预期的效果进行模拟汽车启动、停止、暂停等功能,并设计动态扫描电路显示车费数目,由动态扫描电路来完成。车暂停时停
32、止不计费,车费保持不变。若停止则车费清零,等待下一次计费的开始。各模块完成后,在将它们组合成完整的出租车系统,在设计过程中还需要改进的是控制系统的纠错功能。出租车计费系统的设计中体现了覆盖面广,描述能力强,是一个多层次的硬件描述语言及PLD器件速度快,使用方便,便于修改等特点,本设计在实用方面具有一定的价值。编写程序过程中一个很好的习惯,是去尝试模仿现有的代码。原创就是冒着自己错误的风险,然后调试自己认为正确的代码,如果编译再找不到问题,很难靠自己发现问题。还有值得注意的就是一个信号不能在多个process进程中进行赋值操作。实验七:液晶显示器显示相应的数字或字母学号:1302121480 姓
33、名:王亮 批次:第7批一、实验内容:实现通过液晶显示器显示相应的数字或字母。二、源程序:程序采用模块化设计:顶层调用LCD.v, 最终显示模块:module LCD(input clk,input rstn,outputLCD_COM0_sig,outputLCD_COM1_sig,outputLCD_COM2_sig,outputLCD_COM3_sig,output LCD_5_sig,output LCD_6_sig,output LCD_7_sig,output LCD_8_sig,output LCD_9_sig,output LCD_10_sig,output LCD_11_sig
34、,output LCD_12_sig);wire LCDPWMclk;wire LCDFrameclk;wire7:0seg_LCD1;wire7:0seg_LCD2;wire7:0seg_LCD3;wire7:0seg_LCD4;seg4_7 seg4_7_inst1(.clk(clk),.rst_n(rstn),.data_in(LCD1),.seg_out(seg_LCD1);seg4_7 seg4_7_inst2(.clk(clk),.rst_n(rstn),.data_in(LCD2),.seg_out(seg_LCD2);seg4_7 seg4_7_inst3(.clk(clk),
35、.rst_n(rstn),.data_in(LCD3),.seg_out(seg_LCD3);seg4_7 seg4_7_inst4(.clk(clk),.rst_n(rstn),.data_in(LCD4),.seg_out(seg_LCD4);clk_gen clk_gen_inst(.clk(clk),.rst_n(rstn),.clk_pwm(LCDPWMclk),.clk_frame(LCDFrameclk);LCD4Digit LCDDigit_inst(.LCDFrameclk(LCDFrameclk), .LCDPWMclk(LCDPWMclk), .rstn(rstn),.L
36、CDDP1(1'b1),.LCDDP2(1'b1),.LCDDP3(1'b1),.LCDCol(1'b1),.LCD1(8'b11000000),.LCD2(8'b10011001),.LCD3(8'b10100100),.LCD4(8'b11111001),.LCD_COM0_sig(LCD_COM0_sig),.LCD_COM1_sig(LCD_COM1_sig),.LCD_COM2_sig(LCD_COM2_sig),.LCD_COM3_sig(LCD_COM3_sig),.LCD_5_sig(LCD_5_sig),.LCD
37、_6_sig(LCD_6_sig),.LCD_7_sig(LCD_7_sig),.LCD_8_sig(LCD_8_sig),.LCD_9_sig(LCD_9_sig),.LCD_10_sig(LCD_10_sig),.LCD_11_sig(LCD_11_sig),.LCD_12_sig(LCD_12_sig);endmoduleclk_gen.v 文件:module clk_gen(clk,rst_n,clk_pwm,clk_frame);input clk;input rst_n;output clk_pwm;output clk_frame;reg clk_pwm;reg clk_fram
38、e;reg 11:0 count_pwm;reg 13:0 count_frame;always(posedge clk or negedge rst_n)beginif(rst_n=1'b0) begincount_pwm <= 11'b00000000000;clk_pwm <= 1'b0;endelse begin if(count_pwm=12'b0000000001010) begin count_pwm <= 12'b000000000000;clk_pwm <= clk_pwm;endelsecount_pwm &l
39、t;= count_pwm + 1'b1;endend/*always(posedge clk_pwm or negedge rst_n)beginif(rst_n=1'b0) begincount_frame <= 14'b00000000000000;clk_frame <= 1'b0;endelse begin if(count_frame=14'b00000000000011) begincount_frame <= 14'b00000000000000;clk_frame <= clk_frame;endelse
40、count_frame <= count_frame + 1'b1;endend*/always(posedge clk or negedge rst_n)beginif(rst_n=1'b0) begincount_frame <= 14'b00000000000000;clk_frame <= 1'b0;endelse begin if(count_frame=14'b11000000000000) begincount_frame <= 14'b00000000000000;clk_frame <= clk_f
41、rame;endelsecount_frame <= count_frame + 1'b1;endendendmodulelcd4digit.v 文件:module LCD4Digit(input LCDFrameclk,input LCDPWMclk,input rstn,inputLCDDP1,inputLCDDP2,inputLCDDP3,inputLCDCol,input 7:0 LCD1,input 7:0 LCD2,input 7:0 LCD3,input 7:0 LCD4,outputLCD_COM0_sig,outputLCD_COM1_sig,outputLCD
42、_COM2_sig,outputLCD_COM3_sig,output LCD_5_sig,output LCD_6_sig,output LCD_7_sig,output LCD_8_sig,output LCD_9_sig,output LCD_10_sig,output LCD_11_sig,output LCD_12_sig);wire 1:0LCD_COM0enc;wire 1:0LCD_COM1enc;wire 1:0LCD_COM2enc;wire 1:0LCD_COM3enc;wire 1:0LCD_5enc;wire 1:0LCD_6enc;wire 1:0LCD_7enc;
43、wire 1:0LCD_8enc;wire 1:0LCD_9enc;wire 1:0LCD_10enc;wire 1:0LCD_11enc;wire 1:0LCD_12enc;/ LCD Com signalsLCDEncoding4to1com LCD_COM0enc_inst (.clk(LCDFrameclk), .rstn(rstn), .LCDcom(1'b1, 1'b0, 1'b0, 1'b0), .LCDcomEncoded(LCD_COM0enc);PWM LCD_COM0_inst (.clk(LCDPWMclk), .rstn(rstn),
44、.Voltage(LCD_COM0enc), .PWMVoltage(LCD_COM0_sig);LCDEncoding4to1com LCD_COM1enc_inst (.clk(LCDFrameclk), .rstn(rstn), .LCDcom(1'b0, 1'b1, 1'b0, 1'b0), .LCDcomEncoded(LCD_COM1enc);PWM LCD_COM1_inst (.clk(LCDPWMclk), .rstn(rstn), .Voltage(LCD_COM1enc), .PWMVoltage(LCD_COM1_sig);LCDEnco
45、ding4to1com LCD_COM2enc_inst (.clk(LCDFrameclk), .rstn(rstn), .LCDcom(1'b0, 1'b0, 1'b1, 1'b0), .LCDcomEncoded(LCD_COM2enc);PWM LCD_COM2_inst (.clk(LCDPWMclk), .rstn(rstn), .Voltage(LCD_COM2enc), .PWMVoltage(LCD_COM2_sig);LCDEncoding4to1com LCD_COM3enc_inst (.clk(LCDFrameclk), .rstn(r
46、stn), .LCDcom(1'b0, 1'b0, 1'b0, 1'b1), .LCDcomEncoded(LCD_COM3enc);PWM LCD_COM3_inst (.clk(LCDPWMclk), .rstn(rstn), .Voltage(LCD_COM3enc), .PWMVoltage(LCD_COM3_sig);/ LCD Segment SignalsLCDEncoding4to1 LCD_5enc_inst (.clk(LCDFrameclk), .rstn(rstn), .LCDSegments(!LCD13, !LCD14, !LCD16
47、, !LCD15), .LCDcom(LCDcom), .LCDSegEncoded(LCD_5enc);PWM LCD_5_inst (.clk(LCDPWMclk), .rstn(rstn), .Voltage(LCD_5enc), .PWMVoltage(LCD_5_sig);LCDEncoding4to1 LCD_6enc_inst (.clk(LCDFrameclk), .rstn(rstn), .LCDSegments(LCDDP1, !LCD12, !LCD11, !LCD10), .LCDcom(LCDcom), .LCDSegEncoded(LCD_6enc);PWM LCD
48、_6_inst (.clk(LCDPWMclk), .rstn(rstn), .Voltage(LCD_6enc), .PWMVoltage(LCD_6_sig);LCDEncoding4to1 LCD_7enc_inst (.clk(LCDFrameclk), .rstn(rstn), .LCDSegments(!LCD23, !LCD24, !LCD26, !LCD25), .LCDcom(LCDcom), .LCDSegEncoded(LCD_7enc);PWM LCD_7_inst (.clk(LCDPWMclk), .rstn(rstn), .Voltage(LCD_7enc), .
49、PWMVoltage(LCD_7_sig);LCDEncoding4to1 LCD_8enc_inst (.clk(LCDFrameclk), .rstn(rstn), .LCDSegments(LCDDP2, !LCD22, !LCD21, !LCD20), .LCDcom(LCDcom), .LCDSegEncoded(LCD_8enc);PWM LCD_8_inst (.clk(LCDPWMclk), .rstn(rstn), .Voltage(LCD_8enc), .PWMVoltage(LCD_8_sig);LCDEncoding4to1 LCD_9enc_inst (.clk(LC
50、DFrameclk), .rstn(rstn), .LCDSegments(!LCD33, !LCD34, !LCD36, !LCD35), .LCDcom(LCDcom), .LCDSegEncoded(LCD_9enc);PWM LCD_9_inst (.clk(LCDPWMclk), .rstn(rstn), .Voltage(LCD_9enc), .PWMVoltage(LCD_9_sig);LCDEncoding4to1 LCD_10enc_inst (.clk(LCDFrameclk), .rstn(rstn), .LCDSegments(LCDDP3, !LCD32, !LCD31, !LCD30), .LCDcom(LCDcom), .LCDSegEncoded(LCD_10enc);PWM LCD_10_inst (.clk(LCDPWMclk), .rstn(rstn), .Vol
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 瓦楞纸板制作工基础考核试卷及答案
- 信息化学品合成分子自组装工艺考核试卷及答案
- 涂装优化工艺考核试卷及答案
- 锯材切割效率分析工艺考核试卷及答案
- 照明工设备维护与保养考核试卷及答案
- 影视影像技术面试题及答案
- 2025-2026学年赣美版(2024)小学美术三年级上册《别致版式集》教学设计
- 应急专干面试题库及答案
- 银行中层笔试题及答案
- 银行征信面试题目及答案
- 2025年人社局编外考试题库及答案
- 木制品厂安全生产培训课件
- 电工四级考试理论题库及答案
- 世纪英才教程课件
- 小学科学新教科版三年级上册全册教案(2025秋新版)
- 2025年综合基础知识题库(含答案)
- 七年级上册英语单词形象记忆法
- 小学生科普知识蜜蜂介绍PPT
- GB/T 24346-2009纺织品防霉性能的评价
- FZ/T 12045-2014喷气涡流纺粘胶纤维色纺纱
- 船舶电气知识培训课件
评论
0/150
提交评论