第二章计算机控制原理之过程通道和数据采集系统_第1页
第二章计算机控制原理之过程通道和数据采集系统_第2页
第二章计算机控制原理之过程通道和数据采集系统_第3页
第二章计算机控制原理之过程通道和数据采集系统_第4页
第二章计算机控制原理之过程通道和数据采集系统_第5页
已阅读5页,还剩170页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、第第2章章 过程通道和数据采集系统过程通道和数据采集系统2.1 2.1 过程通道概述过程通道概述2.2 2.2 信号的采样与恢复信号的采样与恢复2.5 2.5 数据采集系统数据采集系统2.3 2.3 模拟量输入通道模拟量输入通道2.4 A/D2.4 A/D转换器及其与微处理器的接口转换器及其与微处理器的接口本章学习目的: 解决微型计算机和外部的连接问题,使计算机和外部构成一个整体,能正确、可靠、高效率的交换信息,这是设计一个微机控制系统必须解决的基本问题。 2.6 2.6 模拟量输出通道模拟量输出通道2.7 2.7 数字量输入输出通道设计数字量输入输出通道设计过程输出通道生生产产过过程程计计算

2、算机机过程输入通道数据采集系统:把生产现场的工艺参数采集后以数字量的形式进行存储、处理、传送、显示或打印第第2章章 过程通道和数据采集系统过程通道和数据采集系统过程通道:在计算机和生产过程之间,必须设置信息的传递和转换的连接装置 。本章重点介绍模拟量输入输出通道本章重点介绍模拟量输入输出通道2.1 2.1 过程通道概述过程通道概述 在计算机控制系统中,常用的信号有3种类型。(1 1)模拟信号)模拟信号 在时间和幅值上均连续取值而不发生突变的信号,一般用十进制数表示。这是控制对象需要的信号。(2 2)离散模拟信号)离散模拟信号 在时间上不连续,而在幅值上连续取值的信号。这是在信号变换过程中需要的

3、中间信号。(3 3)数字(离散)信号)数字(离散)信号 在时间和幅值上均不连续取值的信号,通常用二进制代码形式表示。这是计算机需要的信号。在计算机控制系统中所传送的信息:模拟量输入:温度、压力、物位、转速、成分及其它数字量输入:接点的通断状态,电平的高低状态,限位开关等脉冲计数器:流量计算,电功率计算、转速、长度测量等中断请求:操作人员请求,过程报警信号等模拟量输出:控制执行机构,显示、记录等数字量输出:对执行器进行控制,报警显示,灯显示等问题:开关量和数字量之间的区别?图2-1 输入和输出计算机的信息转换 2.2 2.2 信号的采样与恢复信号的采样与恢复2.2.1 2.2.1 信号的采样信号

4、的采样1.采样采样数字计算机应用于计算机控制系统,必须首先解决模拟信号和数字信号的转换问题。模拟信号到数字信号的转换包含信号采样、量化和编采样、量化和编码三个过程码三个过程。 采样器的闭合时间通常远远小于采样周期T,也远远小于被控对象连续部分的所有时间常数。在分析时,可以认为= 0 。这样,采样器就相当于一个理想采样器,它等效于一个理想的单位脉冲序列发生器,能够产生以T为周期的单位脉冲序列,其数学表达式为:KTKTtt)((2-1) 式中,T为采样周期;K为整数。 理想采样器的输入信号和采样器的输出信号之间存在下面的关系KTKTttxttxtx)()()()(*(2-2) 等价地,还可以写成

5、KKTtKTxtx)(*(2-3) 在分析一个系统时,一般都是讨论零状态响应,控制作用也都是零时刻开始施加的,因此采样器的输入信号在时为零。这时,式(2-1)、式(2-2)和式(2-3)中的求和下限应该取零。 由于在整个控制过程中,采样周期一般是不变的,所以 x*(t)也可以记为x(kT)或简记为x(k)。由上所述,在理想采样器的作用下,采样过程如图2-3所示。 图2-3 连续信号的理想采样过程采样后的脉冲序列x*(t)是离散信号,称为采样信号。0、T、2T、.各时间点成为采样时刻,T为采样周期,执行采样动作的开关S称为采样开关或采样器。香农定理(采样定理):如果随时间变化的模拟信号(包括噪声

6、干扰在内)的最高频率fmax,只要按照采样频率ffmax进行采样,那么取出的样品序列(f1*(t), f2*(t), f3*(t),.)就足以代表(或恢复)f(t)。注意:这里的fmax是指能够知道的最大频率 从信号的采样过程可知,信号的采样不是取全部时间从信号的采样过程可知,信号的采样不是取全部时间上的信号值,而是取某些时刻的值。这样处理会不上的信号值,而是取某些时刻的值。这样处理会不会造成信号的丢失呢?采样信号会造成信号的丢失呢?采样信号f*(t)能否如实的反能否如实的反映被采样信号映被采样信号f(t)的所有变化和特征吗?的所有变化和特征吗? 在计算机控制系统中对连续信号进行采样,是要用抽

7、取的离散信号序列代表相应的连续信号来参与控制运算,所以要求采样到的离散信号序列能够表达相应的连续信号的基本特征。为使离散信号能不失真地恢复为原来的连续信号,对采样角频率有一定的要求,香农(Shannon)采样定理则定量地给出了采样角频率的选择原则。 采样定理:如果连续信号具有有限频谱,其最高频率采样定理:如果连续信号具有有限频谱,其最高频率为为max,则对进行周期采样且采样角频率,则对进行周期采样且采样角频率smax时,时,连续信号可以由采样信号惟一确定,亦即可以从不失连续信号可以由采样信号惟一确定,亦即可以从不失真地恢复。真地恢复。 采样定理给出了合理选择采样周期的理论采样定理给出了合理选择

8、采样周期的理论指导原则,在计算机控制系统中对采样周指导原则,在计算机控制系统中对采样周期的选择要折中考虑许多因素。在实际中,期的选择要折中考虑许多因素。在实际中,采样频率通常取采样频率通常取 ,或者,或者更高。更高。 对于工业过程,人们在实践中总结了对于工业过程,人们在实践中总结了如下经验数据可供参考:如下经验数据可供参考:max)105(ffs将采样信号转换为数字信号的过程称为量化过程或整量化过程。真实信号近似信号2. 2. 量化量化数字信号和采样信号的差别:数字信号是断续的,采样信号是连续的整量化过程可以视为“数值分层”的过程。例如字长为n位的A/D转换器把幅值在yminymax范围内连续

9、变化的采样信号,变换为02n-1的数字信号,则其最低有效位(LSB)所对应的模拟量q称为量化单位量化单位。量化过程实际上是用q去度量采样幅值高低的小数归整过程。而量化过程存在量化误差,量化误差为1/2q。例如,q=20mV时,量化误差为10mV,则在0.990V1.009V范围内的采样值,其量化结果都是相同的。注:注:a)量化过程由量化过程由A/D转换器实现;转换器实现; b)当当A/D转换器的字长转换器的字长n取足够大时,量化误差足取足够大时,量化误差足够小,可以认为数字信号近似于采样信号。够小,可以认为数字信号近似于采样信号。nminmax2yyq双极性信息通常有三种方式:符号数值码双极性

10、信息通常有三种方式:符号数值码 偏移二进制法偏移二进制法 补码表示法补码表示法3.3.编码编码常用双极性编码常用双极性编码常用双极性代码的关系 例如,模拟信号 fmax=16v, fmin=0v,取i=4,则q=1v,量vqe5.02max。采样值和量化值的对应化误差最大值 关系如表示。 采样值和量化值的对应关采样值和量化值的对应关系系1零阶保持器 零阶保持器的作用是把采样时刻kT的采样值恒定不变地保持(外推)到(k+1)T时刻,也就是说,在 时间区间内,它的输出量一直保持为 这个值,从而使得两个采样点之间不为零值。这样,零阶保持器把离散信号恢复成了一个阶梯波形信号 ,如图2-7所示。TkkT

11、t1,kTx txh图2-7采样和保持电路的结构及前后信号对比2.2.2 2.2.2 信号的恢复信号的恢复为了实现对被控对象的有效控制,必须把离散信号恢复为连为了实现对被控对象的有效控制,必须把离散信号恢复为连续信号续信号 如果取两个采样点的中点做平滑,平滑后的信号与原来连续信号 相比有1/2个采样周期的滞后,成为 ,如图2-7所示。因此,无论采样周期T 取多么小,经零阶保持器恢复的连续信号都是带有时经零阶保持器恢复的连续信号都是带有时间滞后的间滞后的。一般情况下,采样周期T 都很小,可以将这种滞后忽略。)(tx)21(Ttx2零阶保持器的数学模型 零阶保持器的输出信号 的数学描述可以写成 t

12、xh 0111)(khTktkTtkTxtx(2-12) 它的拉氏变换为 seseekTxsXTskTskTsh1s*X 1)(0(2-13) 由此可以看出,零阶保持器的传递函数零阶保持器的传递函数为 sesXsXsGTshh1*(2-14)重点 零阶保持器的频率特性为sjsssTjTjTjTjTjheeTTTjeeejejGsin2 22sin 121212121(2-15) 式中 为采样角频率。Tfss22 零阶保持器零阶保持器的幅度谱随着频率的增高而逐渐减小,是一个低通滤波器低通滤波器,但并不是一个理想的低通滤波器,高频分量仍能通过一部分。因此,经零阶保持器恢复的连续信号与原来的信号有一

13、些差别。另外,信号通过零阶保持器之后会产生滞后相移信号通过零阶保持器之后会产生滞后相移, 比 平均滞后 ,采样周期越大,滞后越大,相当于引入一个纯滞后环节纯滞后环节,这对闭环系统的稳定性是不利的。 txh)(tx23零阶保持器的实现 零阶保持器可以用无源网络无源网络来近似实现。如果将零阶保持器传递函数中的 展开成幂级数Tse22! 211sTTseTs取级数的前两项可得 TsTTssessesGTsTsh111111111(2-16) 式(2-16)可以用图2-9所示的RC无源网络来实现。图2-9 用RC无源网络近似零阶保持器 假如取级数的前3项,则 2121! 21111112222sTTs

14、TsTsTTsssesGTsh(2-17) 式(2-17)可以用图2-10所示的RLC无源网络来实现。图2-10 用RLC无源网络近似零阶保持器 2.2.3 3 模拟量输入输出通道模拟量输入输出通道2.3.1 模拟量输入通道的一般组成模拟量输入通道的一般组成模拟量输入接口是由(调理电路)信号处理装置、多路模拟开关、前置放大器、采样保持器、A/D转换器和控制电路等部分组成。 在计算机控制系统中,模拟量输入信号主要有传感器输出的信号和变送器输出的信号两类传感器输出的信号和变送器输出的信号两类。因此,信号调理电路的设计主要是根据传感器输出的信号、变送器输出的信号及A/D转换器的具体情况而有所不同。

15、信号处理装置一般包括滤波电路、线性化处理及电参量间的转换电路等。1 1信号调理电路信号调理电路 电流信号:一般为010mA(01.5k负载)或420mA(0500负载)。 电压信号:一般为05V或15V信号。 传感器输出的信号包括: 电压信号:一般为mV或V信号。 电阻信号:单位为,如热电阻(RTD)信号,通过电桥转换成mV信号。 电流信号:一般为mA或A信号。变送器输出的信号包括: 以上这些信号往往不能直接送入A/D转换器,对于较小的电压信号需要经过模拟量输入通道中的放大器放大后,变换成标准电压信号(如05V,15V,010V,-5+5V等),再经滤波后才能送入A/D转换器。而对于电流信号应

16、该通过I/V(电流/电压)变换电路,将电流信号转换成标准电压信号,再经滤波后送入A/D转换器。 I / V变换电路主要有两种形式:无源I / V变换电路和有源I / V变换电路。 (1)无源I/V变换电路图2-12 无源I/V变换电路 图中R2为精密电阻,通过此电阻可将电流信号转换为电压信号。当输入电流为010mA时,可取R1=100,R2=500,这样输出的电压就为05V;当输入电流为420mA时,可取R1=100,R2=250,这样输出的电压就为15V。图2-13 有源I/V变换电路(2)有源I/V变换电路 利用同相放大电路,把电阻R1上的输入电压变成标准输出电压。这里R1应该取精密电阻。

17、该放大电路的电压放大倍数为341RRAv(2-18) 当输入电流为010mA时,可取R1=200,R3=100k,R4=150k,这样输出的电压就为05V;当输入电流为420mA时,可取R1=200,R3=100k,R4=25k,这样输出的电压就为15V。 RCV420是美国RURR-BROWN公司生产的精密电流环接收器芯片,用于将420mA输入信号转换为05V输出信号,具有很高的性价比,它包含一个高级运算放大器,一个片内精密电阻网络和一个精密10V电压基准,其总转换精度为0.1%,共模抑制比可达86dB,共模输入范围达40V。集成I/V变换电路RCV420:RCV420电源和信号的基本接法如

18、图2-16所示。图2-16 RCV420电源和信号的基本接法电平转换译码器USSUDDINHABCX0UEEXX1X72.2.多路转换开关多路转换开关 多路开关在输入输出通道中的作用是实现多路开关在输入输出通道中的作用是实现n选选一的操作,在一的操作,在CPU控制的下(也可以用其它控制逻控制的下(也可以用其它控制逻辑实现),经过多路开关可以将辑实现),经过多路开关可以将n路输入或输出依路输入或输出依次地或随机地逐个分时接通次地或随机地逐个分时接通。 CD4051是单端的是单端的8通道双向多路开关通道双向多路开关,其原理图如上图所示。(1)A 、B 、C为开关的通道选择输入端(2)INH为禁止(

19、高电平禁止)输入端:当INH为低电平时,改变A、B 、C的数值(从000111)通过译码器可译出8种状态,在8个通道中(X0X7)选中其中的一个与输出X接通。而当INH为高电平时,不论A 、B、C为何值,8个通道均不通。其真值表如下表所示。改变表中X与X0X7的传递方向,则可用作多路开关或反向多路开关。 12345678161514131211109VDD2103ABC46OUT/IN75INHVEEVSSIN/OUTIN/OUTIN/OUT选择多路转换器主要考虑如下因素:要多少通路?是单端还是差动?电平高低如何?采用什么方式对各通路寻址?开关切换时要多少时间才能稳定到要求的精度?最大切换率是

20、多少?1. 各通路允许有多大的串扰误差,等等0 1 2 3 4 5 6 7A0A1A21# CD45018 9 10 11 12 13 14 15A0A1A22# CD4501A2A1A007815输出A3INHINH图2-8 16选1通道扩展电路问题:如果有三片或三片以上CD4051构成多路选择时,如何连接?两个CD4051扩展成16通道的多路模拟开关3.采样与保持器采样与保持器(1)采样保持器的使用 A/D转换器完成一次完整的转换过程所需的时间称为转换时间,对变化较快的模拟信号来说,转换期间将引起转换误差。 设一个幅值为Vm,频率为f的正弦模拟信号v=Vfsin2ft,则其随时间的变化率为

21、dv/dt=Vf2fcos2ft,它的最大值出现在cos2ft=1即信号过零的时刻,设A/D转换时间为t,则最大的转换误差V为: VVf2ft t为A/D转换时间。 vVfttv例:为了满足A/D转换精度要求,希望在转换时间t内,最大信号变化幅度应小于A/D转换器量化误差E,即 EVVf2ft设一个12位的A/D转换器ADC1211,转换时间100s,量化误差为最低位所代表的电压信号的一半,基准电源10.24V,其量化误差:)(25. 1224.102112mvE为了是A/D转换器最低位的转换值不受影响,则要求输入信号的最高变换频率:)(5 . 0101001025. 15212163maxH

22、ztEVff采样/保持器基本组成电路下图示。它由模拟开关、保持电容和运算放大器组成。图中,运算放大器A1、A2都接成跟随器形式,A1的输出阻抗很低,A2的输入阻抗很高,故它接近理想的采样保持器。A1-+A2-+U0UiK控制信号C(2)采样保持器的结构 为了减小A/D的转换误差,采用采样保持器。 采样/保持器有采样和保持两种工作状态。当控制信号为低电平时(采样状态),开关S闭合,输入信号通过电阻R向电容C快速充电,输出电压随着输入信号变化。当控制信号为高电平时(保持状态),开关S断开,由于电容C此时无放电回路,在理想情况下输出电压的值等于电容C上的电压值。 在采样期间,不启动A/D转换器,一旦

23、进入保持期间,立即启动A/D转换器,从而保证A/D转换的模拟输入电压恒定,提高了A/D转换的精度。 在模拟量输入通道中,经常采用集成化的采样保持器,如LF198、LF298、LF398等。其特点是:采样速度高、保持电压下降速率慢、精度高等。OFFSETA1-+A2-+输出3K输入CH-+A3控制L, R872R15R26(3)LF398采样/保持器引脚排列如图2-26所示。图2-26 LF398的引脚排列图各引脚功能如下: V+、V-:正负电源电压输入引脚,输入范围为5V到18V。 OFFSET ADJ:偏置调整引脚。可用外接电阻调整采样-保持器的偏差。 VIN:输入引脚。 VOUT:输出引脚

24、。 CH:保持电容引脚。用来外接保持电容。 LOGIC REF:参考逻辑电平。 LOGIC:输入控制逻辑。LF398典型的电源和信号的接法如图2-27所示。 图2-27 LF398典型的电源和信号的接法 只要改变输入控制逻辑电平,即可控制采样/保持器的工作状态。当输入控制逻辑为高电平时,为采样状态,此时输出随着输入变化;当输入控制逻辑为低电平时,为保持状态,此时,输出保持不变。保持电容CH可选用漏电流较小的聚苯乙烯电容、云母电容或聚四氟乙烯电容。CH的数值直接影响采样时间及保持精度,为了提高精度,就需要增加保持电容CH的容量,但CH增大时又会使其采样时间加长。因此,当精度要求不高(1%)而速度

25、要求较高时, CH可小至100Pf。当精度要求高(0.01%)时,应取CH =1000pF。当CH 400pF时,采样时间tAC与CH有经验公式tAC=CH/40 (2-23) 式中,CH为保持电容的容量,单位为F;tAC为采样时间,单位为s。 前置放大器的任务是将模拟小信号放大到A/D转换器的量程范围内(如05V)。它可以分为固定增益放大器和可变增益放大器两种,前者适用于信号范围固定的传感器,后者适用于信号范围不固定的传感器。4 4. .前置前置放大器放大器(1)固定增益放大器 固定增益放大器一般采用差动输入放大器,因其输入阻抗高,因而有着极强的抗共模干扰能力,如图2-19所示。图2-19

26、固定增益差动放大器图中2w1f1w1f1oVRRV)RR1 (V(2-19) 1w2f2w2f2oVRRV)RR1 (V (2-20) )VV)(RRR1 (RRV12w2f1ffo(2-21) 所以其增益为)RRR1 (RRAw2f1ffv(2-22) 在计算机控制系统中,当多路输入信号的电平相差较悬殊时,采用可变增益放大器,可以使A/D转换器信号满量程达到均一化,以提高多路数据采集的精度。 方案1:采用增益可调的仪表放大器(2)可变增益放大器PGA202的增益为1,10,100,1000(十进制) PGA203的增益为1,2,4,8(二进制) 常用的可变增益放大器有AD526、AD625、

27、PGA100、PGA102、PGA202/PGA203、LH0084等。 数字可编程控制增益: PGA202 的增益倍数为1、10、100、1000; PGA203 的增益倍数为1、2、4、8 。 增益误差: G 1000 0.05%0.15%; G = 1000 0.08%0.1% 非线性失真: G=1000 0.02%0.06% 。 快速建立时间:2s。 快速压摆率: 20V/s。 共模抑制比: 8094dB。 频率响应: G 1000 1MHz; G=1000 250kHz 电源供电范围: 618 V 。方案二放大器并联反馈电阻方案二放大器并联反馈电阻2.3.2 2.3.2 D/AD/A

28、与与A/DA/D转换技术转换技术一、D/A转换器概述D/A转换器的输入为数字量,经转换后输出为模拟量。4位权电阻D/A转换器原理图 4位R-2R电阻网络D/A转换原理图 D/A转换器是将数字量转换成模拟量的元件或装置,其模拟量输出(电流或电压)与参考电压和二进制数成正比例。常用的D/A转换器的分辨率有8位、10位、12位等,其结构大同小异,通常都带有两级缓冲寄存器。 1. D/AD/A转换器的主要技术指标转换器的主要技术指标 (1 1)分辨率:是)分辨率:是D/AD/A转换器对输入量变化敏感程度的描述转换器对输入量变化敏感程度的描述, ,与与输入数字量的位数有关。如果数字量的位数为输入数字量的

29、位数有关。如果数字量的位数为n,n,则则D/AD/A转换器转换器的分辨率为的分辨率为2 2-n-n。这就意味着。这就意味着D/AD/A转换器能对满刻度的转换器能对满刻度的2 2-n-n输入量输入量作出反应。作出反应。 (2)(2)建立时间:建立时间是描述建立时间:建立时间是描述D/AD/A转换速度快慢的一个参数转换速度快慢的一个参数, ,指从输入数字量变化到输出达到终值误差指从输入数字量变化到输出达到终值误差1/2LSB(1/2LSB(最低有效最低有效位位) )时所需的时间时所需的时间, ,通常以建立时间来表明转换速度。通常以建立时间来表明转换速度。 (3 3)输出电平:电压输出一般为)输出电

30、平:电压输出一般为5 510V10V;电流输出;电流输出20mA20mA (4 4)输入编码形式)输入编码形式 :二进制码、:二进制码、BCDBCD代码、补码等代码、补码等2 2、DACDAC芯片类型及接口方法芯片类型及接口方法各种类型的各种类型的DACDAC芯片都具有数字量输入端和模拟量芯片都具有数字量输入端和模拟量输出端及基准电压端。输出端及基准电压端。数字输入端有以下几种类型:数字输入端有以下几种类型:无数据锁存器无数据锁存器,带单数据锁存器,带双数据锁存器,可接带单数据锁存器,带双数据锁存器,可接收串行数字输入收串行数字输入。第。第1 1种在与单片机接口时,要种在与单片机接口时,要外外

31、加锁存器加锁存器,第,第2 2种和第种和第3 3种可直接与单片机接口,种可直接与单片机接口,第第4 4种与单片机接口十分简单,接收数据较慢,适种与单片机接口十分简单,接收数据较慢,适用于远距离现场控制的场合。用于远距离现场控制的场合。模拟量输出有两种方式:电压输出及电流输出模拟量输出有两种方式:电压输出及电流输出。电压输出的电压输出的DACDAC芯片相当于一个电压源,其内阻很芯片相当于一个电压源,其内阻很小,选用这种芯片时,与它匹配的负载电阻应较小,选用这种芯片时,与它匹配的负载电阻应较大。电流输出的芯片相当于电流源,其内阻较大,大。电流输出的芯片相当于电流源,其内阻较大,选用这种芯片时,负载

32、电阻不可太大。选用这种芯片时,负载电阻不可太大。 在实际应用中,常选用电流输出的在实际应用中,常选用电流输出的DACDAC芯片实现电压输出,如芯片实现电压输出,如图所示图所示 3、典型D/A转换器芯片DAC0832简介DAC0832的内部结构框图如下图所示。 主要特点: 8位D/A转换器 电流输出型 可与各种微处理器直接接口(具有锁存器) 输入为8位二进制码, 所有引脚(20个)与TTL兼容 具有双缓冲、单缓冲和直通数据输入3种工作方式 电流稳定时间1 S,满量程误差为1LSB 5V15V单一电源,低功耗20 mW 参考电压(基准电压)为10V10V 逻辑结构逻辑结构 20个引脚、双列直插式个

33、引脚、双列直插式8位位输入输入寄存器寄存器8位位DAC寄存器寄存器8位位D/A转换器转换器VrefIOUT2RfbAGNDVCCDGNDDI7DI0CSWR1WR2XFERILELE1LE2IOUT1&RFBILE=1,CS=0,WR1=0ILE=1,CS=0,WR1=0选中选中8 8位输入锁存器。位输入锁存器。 XFER=0,WR2=0XFER=0,WR2=0选中选中8 8位位DACDAC寄存器。寄存器。 CSWR1AGNDD7D6D0D1D2D3D4D5VREFRfDGNDVccILEWR2XFERIout2Iout1DAC08321109876543220141516171819

34、131211D/AD/A转换电路是一个转换电路是一个R-2R TR-2R T型电阻网络,实现型电阻网络,实现8 8位数据的转换。位数据的转换。对各引脚信号说明对各引脚信号说明: : (1)DI7 (1)DI7DI0DI0:转换数据输入。:转换数据输入。 (2)CS (2)CS :片选信号(输入),低电平有效。:片选信号(输入),低电平有效。 (3)ILE(3)ILE:数据锁存允许信号(输入),高电平有效。:数据锁存允许信号(输入),高电平有效。 (4)WR1(4)WR1:第:第1 1写信号(输入),低电平有效。写信号(输入),低电平有效。上述两个信号控制输入寄存器是数据直通方式还是数据锁存方上

35、述两个信号控制输入寄存器是数据直通方式还是数据锁存方式,当式,当ILE=1ILE=1和和 WR1 =0WR1 =0时,为输入寄存器直通方式;当时,为输入寄存器直通方式;当ILE=1ILE=1和和 WR1 =1WR1 =1时,为输入寄存器锁存方式。时,为输入寄存器锁存方式。(5)WR2 (5)WR2 :第:第2 2写信号(输入),低电平有效。写信号(输入),低电平有效。(6)XFER (6)XFER :数据传送控制信号(输入),低电平有效。:数据传送控制信号(输入),低电平有效。 上述两个信号控制上述两个信号控制DAC寄存器是数据直通方式还是数据锁存方寄存器是数据直通方式还是数据锁存方式,当式,

36、当 WR2 =0和和XFER =0时,为时,为DAC寄存器直通方式;当寄存器直通方式;当WR2=1和和 XFER =0时,为时,为DAC寄存器锁存方式。寄存器锁存方式。 (7) Iout1:电流输出:电流输出1。 (8) Iout2:电流输出:电流输出2。 DAC转换器的特性之一是:转换器的特性之一是:Iout1+Iout2=常数。常数。 (9) Rfb:反馈电阻端。:反馈电阻端。 DAC 0832是电流输出,为了取得电压输出,需在电压输出端是电流输出,为了取得电压输出,需在电压输出端接运算放大器,接运算放大器,Rfb即为运算放大器的反馈电阻端。运算放大器即为运算放大器的反馈电阻端。运算放大器

37、的接法如下图。的接法如下图。 (10) Vref:基准电压,其电压可正可负,范围是:基准电压,其电压可正可负,范围是-10 V+10 V。 (11) DGND:数字地。:数字地。 (12) AGND:模拟地。:模拟地。 2REFoutfboutfbnVVR IRD R1=2RR3=2RR4=RR2=R利用DAC0832实现的单、双极性输出电路 根据上面两式,对于8位D/A转换器,有:D=0时,;D=80H,D=FFH,实现了双极性输出。out1REFnDV=-V2121332-()-2(-1)122121outREFoutREFREFREFnnRRDDVVVVVVRR out1out2REFV

38、=0,V=-VREFout1REFout2REF88V1282 128V=-V-,V=-V(-1)0212211288255255,(1)2121outREFREFoutREFREFVVVVVV VVout+VREF-VREF00HFFH80HB DAC1208系列D/A转换器有DAC120、DAC1209、DAC1210三种芯片类型,是与微处理器完全兼容的12位D/A转换器。其功耗低,输出电流稳定时间为1s,参考电压范围为-10V+10V,单工作电源范围为+5V+15V,转换精度较高,价格低廉,接口简单,目前应用较为广泛。3、12位D/A转换器芯片DAC1208简介DAC1208的内部结构框

39、图如图2-70所示。 DAC1208采用双缓冲器结构双缓冲器结构, 为8位输入锁存器命令, 为4位输入锁存器的命令,当它们为高电平1时,输入锁存器的输出随着输入数据的状态变化,当它们为低电平时,数据锁存。 为12位DAC寄存器命令,当它为高电平时,12位DAC寄存器的输出状态随着输入锁存器的状态而变化,进行D/A转换,否则为低电平时,停止D/A转换。 由于DAC1208是12位数据总线,对于8 8位单片位单片机机分两次从CPU送出,只可连接成双缓冲器方式。数据的操作必须分为分为3 3步进步进行,首先将高8位数据写入8位输入锁存器,然后将低4位数据写入4位输入锁存器,最后将12位数据从输入锁存器

40、中写入12位DAC寄存器。将12位转换数据送往DAC1208接口电路进行D/A转换。)( 1LE)(2LE)(3LEDAC1208的引脚排列如图2-71所示。图2-71 DAC1208的引脚排列各引脚功能如下: :片选信号,低电平有效。 :写信号,低电平有效。 :辅助写信号,低电平有效。该信号与 相结合来控制DAC寄存器的工作状态,当 和 同时为低电平时,DAC寄存器的输出状态随着输入锁存器的状态而改变,当 为高电平时,DAC寄存器中的数据被锁存起来。 :传送控制信号,低电平信号有效。用于将输入锁存器中的12位数据送至DAC寄存器。CS1WR2WRXFERXFER2WRXFER2WR :字节顺

41、序控制信号。当 和 同时为低电平时,若该信号为高电平时,则8位和4位输入锁存器的输出随着输入数据变化;若该信号为低电平,则8位输入锁存器处于锁存状态,而4位输入锁存器的输出随着输入数据变化。 DI011:12位数据输入。 IOUT1:D/A转换器电流输出1。当DAC寄存器全1时,输出电流最大,全0时,输出为0。 IOUT2:D/A转换器电流输出2。IOUT1+ IOUT2=常数。 Rfb:反馈电阻输入。 VREF:参考电压输入。 VCC:电源电压。 DGND、AGND:数字地和模拟地。2BYTE/1BYTECS1WR A/D转换器的作用就是把模拟量转换为数字量,是模拟量输入通道必不可少的器件。

42、从转换原理上可分为逐次逼近型、计数比较型和双积分型。从分辨率上可分为8位、12位、16位等。无论哪一种A/D转换器将其与计算机接口连接时,都会遇到许多实际问题,比如:数字量输出信号的连接,A/D转换器的启动方式,转换结束信号的处理方式,时钟信号的连接。二、A/D转换器概述n 目前最常用的是双积分式和逐次逼近式。n(1)双积分式A/D转换器:主要优点为转换精度高、抗干扰性能好、价格便宜;缺点为转换速度较慢。因此这种转换器主要用于速度要求不高的场合。常用的产品有ICL7106/ICL7107/ICL7126系列、MC1443以及ICL7135等。 (2)逐次逼近式:逐次逼近式A/D转换器是一种速度

43、较快、精度较高的转换器,其转换时间大约在几微秒到几百微秒之间。常用的这类芯片有:ADC0801ADC0805型8位MOS型A/D转换器(单通道);ADC0808/0809型8位MOS型A/D转换器(8通道);ADC0816/0817型8位MOS型A/D转换器。(16通道)。 典型A/D转换器芯片ADC0809简介 ADC0809是典型的8位8通道逐次逼近式A/D转换器,采用CMOS工艺制造。 ADC0809的内部逻辑结构如下图所示。 ADC0809的引脚的引脚ADC0809芯片为28引脚双列直插式封装:(1)IN7IN0:模拟量输入通道。(2)ADDA、ADDB、ADDC:模拟通道地址线。 A

44、为低位地址,C为高位地址(3)ALE:地址锁存信号。(4)START:转换启动信号。(5)D7D0:数据输出线。(6)OE:输出允许信号。(7)CLK:时钟信号。(8) EOC:转换结束状态信号。 (9)VCC:+5V电源。(10)Vref:参考电压。 主要技术指标:主要技术指标:(1)分辨率:分辨率越高,转换时对输入模拟信)分辨率:分辨率越高,转换时对输入模拟信号变化的反应就越灵敏,通常用数字量的位数来表号变化的反应就越灵敏,通常用数字量的位数来表示示(2 2)量程:指所能转换的电压范围。)量程:指所能转换的电压范围。(3)精度:制转换结束后所得的结果相对于实际)精度:制转换结束后所得的结果

45、相对于实际值的准确度值的准确度(4)转换时间和转换率:)转换时间和转换率:从几从几ss到几百到几百ss之间之间(5)输出逻辑电平)输出逻辑电平(6)工作温度范围)工作温度范围(7)对基准电压的要求)对基准电压的要求(1)数字量输出信号的连接 A/D转换器数字量输出引脚和8位单片微型计算机的连接方法与其内部结构有关。 如果转换器的数据输出寄存器具有三态锁存功能,则AD转换器的数字量输出引脚可直接接到CPU的数据总线上,转换结束,CPU可以直接读入数据。 对于10位以上的AD转换器,输出数据寄存器增加了读数控制逻辑电路,把10位以上的数据分时读出。 对于内部不包含读数据控制逻辑电路的AD转换器,应

46、增设三态门对转换后数据进行锁存,以便控制10位以上的数据分两次进行读取。(2)A/D转换器的启动方式 任何一个AD转换器都必须在外部启动信号的作用下才能开始工作,启动方式分脉冲启动和电平控制启动两种。 脉冲启动转换只需给AD转换器的启动控制转换的输入引脚上,加一个符合要求的脉冲信号即可,如ADC0809、ADC80、ADC1210等均属此列。 电平控制转换的AD转换器,当把符合要求的电平加到控制转换输入引脚上时,立即开始转换,而且此电平应保持在转换的全过程中,否则将会中止转换的进行。因此,该电平一般需由D触发器锁存供给,例如,AD570、AD571、AD574等均是如此。(3)转换结束信号的处

47、理方式 当AD转换结束时,AD转换器芯片内部的转换结束触发器置位,并输出转换结束标志电平,以通知主机读取转换结果的数字量。 主机判断AD转换结束的方法有3种:即中断、查询和延时方式。这3种方式的选择往往取决于AD转换器的速度和应用系统总体设计要求以及程序的安排。(4)时钟信号的连接 AD转换器的频率是决定其转换速度的基准。整个AD转换过程都是在时钟作用下完成的。 AD转换时钟的提供方法有两种: 1、是由芯片内部提供,如AD574A; 2、是由外部时钟提供。外部时钟少数由单独的振荡器提供,更多的则是由CPU经时钟分频后,送至A/D转换器的时钟端。图2-30 ADC0808/0809时序图2.4

48、A/D2.4 A/D转换器及其与微处理器的接口转换器及其与微处理器的接口A/DA/D转换器与计算机的接口转换器与计算机的接口 在设计A/D 转换器与计算机的接口时,无论是设计硬件电路还是编制相应的程序,都要考虑3个问题:1 1)如何启动A/D 转换器;2 2)如何判断A/D 转换器转换结束;3 3)如何读取A/D 转换的结果。8位A/D 转换器ADC0809与计算机的接口电路如图上图所示。 1.1.如何启动如何启动A/DA/D转换器:转换器:2.2.如何判断如何判断A/DA/D转换器转换结束转换器转换结束 :3.3.如何读取如何读取A/DA/D转换的结果:转换的结果: 启动启动A/DA/D转换

49、只需使用转换只需使用1 1条条MOVXMOVX指令。在此之前指令。在此之前, ,要将要将P2.7P2.7清清0 0并将末并将末3 3位与所选择的通道号相对应的口地址位与所选择的通道号相对应的口地址送入数据指针送入数据指针DPTRDPTR中。例如要选择中。例如要选择IN0IN0通道时通道时, ,可采用可采用如下两条指令如下两条指令, ,即可启动即可启动A/DA/D转换:转换: MOV DPTR,MOV DPTR,7FF0H ;7FF0H ;送入送入08090809的口地址的口地址 MOVX DPTR,A ;MOVX DPTR,A ;启动启动A/DA/D转换转换(IN0)(IN0)注意:此处的注意

50、:此处的A A与与A/DA/D转换无关转换无关, ,可为任意值。可为任意值。 7FF0H的含义?n转换数据的传送n A/D转换后得到的数据为数字量,这些数据应传送给单片机进行处理。数据传送的关键问题是如何确认A/D转换的完成,因为只有确认数据转换完成后,才能进行传送。通常可采用下述3种方式。n 1)延时传送方式n 对于一种A/D转换器来说,转换时间作为一项技术指标是已知的和固定的。 n 2)查询方式n A/D转换芯片有表示转换结束的状态信号,例如ADC0809的EOC端。 n3)中断方式n 如果把表示转换结束的状态信号(EOC)作为中断请求信号,那么,便可以中断方式进行数据传送。n 不管使用上

51、述哪种方式,只要一旦确认转换结束,便可通过指令进行数据传送。所用的指令为MOVX读指令,仍以图所示为例,则有n MOV DPTR,7FF0Hn MOVX A,DPTRn 例如当ADDA、ADDB、ADDC分别与D0、D1、D2相连时,启动IN7的A/D转换指令如下:nMOV DPTR, 7FF7H ;送入0809的口地址n ,选择IN7通道 MOVX DPRT, A ;启动A/D转换nA/D转换应用举例转换应用举例n设有一个8路模拟量输入的巡迥检测系统,使用中断方式采样数据,并依次存放在外部RAM的A0HA7H单元中。采集完一遍以后即停止采集。n数据采样的初始化程序和中断服务程序如下:n 初始

52、化程序:初始化程序:n MOV R0,A0H ;设立数据存储区指针n MOV R2,08H ;8路计数值n SETB IT1 ;边沿触发方式n SETB EA ;CPU开中断n SETB EX1 ;允许外部中断1中断 MOV DPTR,7FF0H ;送入口地址并指向IN0LOOP: MOVX DPTR,A ;启动A/D转换HERE: SJMP HERE ;等待中断n中断服务程序中断服务程序:n MOVX A, DPTR ;采样数据n MOVX R0, A ;存数n INC DPTR ;指向下一个模拟通道 INC R0 ;指向数据存储区下一个单元 DJNZ R2, INT1 ;8路未转换完,则继

53、续 CLR EA ;已转换完,则关中断 CLR EX1 ;禁止外部中断1中断 RETI ;从中断返回INT1: MOVX DPTR,A ;再次启动A/D转换 RETI ;从中断返回 下面的程序是采用查询方式,将ADC0809的IN4通道模拟量进行5次转换,转换结果存入单片机内部RAM40H为首地址的存储单元中的程序清单。(EOC接P1.5) AD: MOV R0, #40H ;存储单元首地址 MOV R1, #05H;转换次数 MOV P1, #0FFH ;P1口写1(准输入口)AD0: MOV DPTR, #7FFH;送ADC0809口地址,且指向IN4 MOVX DPTR , A;启动转换

54、AD1: MOV A , P1 ;检测P1.4的状态,若P1.4=0,开 始转换ANL A , #10H;与运算JNZ AD1AD2: MOV A , P1 ;检测P1.4的状态,若P1.4=1, 转换结束ANL A, #10HJZ AD2MOV DPTR , #7FFFH ;读A/D转换结果 MOVX A , DPTRMOV R0 , AINC R0 DJNZ R1 , AD0 RET书上的程序和大家共同商榷。7FFFH是IN4的地址吗? ADC0816/0817与80C51单片机的接口程序设计。 图2-40是80C51单片机与ADC0816/0817的一种典型的接口逻辑。 图2-40可以用

55、在一个16路的数据循环采集系统中。如果要求对16路模拟输入量依次采样256个点,存放在外部存储单元的B000HBFFFH单元中。B000HB0FFH为IN0通道的采样数据缓冲区,B100HB1FFH为通道IN1采样数据缓冲区,依次类推。 按图2-40,输入通道地址依IN0IN15顺序为BFF0HBFFFH。程序清单如下:START: MOV R0, #00H;建立RAM缓冲区地址指针 MOV R2, #0B0H; MOV R3, #0FFH;置采样次数计数器初值 MOV R4, #00H MOV R6, #10H;设通道计数器初值 MOV P1, #0FFH;P1口为准输入口 MOV DPTR

56、, #0BFF0H;通道地址寄存器设初值AGAIN: MOVX DPTR, A;启动A/D转换LOOP0: JBP1.5, LOOP0LOOP1: JNB P1.5, LOOP1;等待A/D转换MOVX A, DPTR;读A/D转换结果MOVX R0, A;存入RAM单元INCDPTR;修改通道号INCR2;修改RAM地址DJNZR6, AGAIN;判断通道计数器是否为“0”DJNZR3, DONE;判断采样次数计数器是否为“0”RETDONE: INCR4MOVR2, #0B0HMOVA, R4MOVR0, AMOVR6, #10HAJMPAGAIN 在一些微型机控制系统中,往往精度要求比较

57、高,因此需要更多位数的ADC。AD574是目前广泛使用的一种高性能的12位逐次逼近型A/D转换器。其片内有三态输出缓冲电路,可直接与微机总线相连接。1、AD574的结构特点与引脚功能 AD574A是美国AD公司研制的12位逐次逼近式ADC,适合在高精度快速采样系统中使用。补充:12位A/D转换器及其接口(1)AD574A的结构特点AD574A的内部结构和ADC0809类同,只是数字量位数由8位提高到了12位。AD574A内部集成有转换时钟,参考电压源和三态输出锁存器,故它使用方便,也可与微机直接接口,而且无需外接CLOCK时钟。AD574A的转换时间可达25s,这与ADC0809的100s相比

58、显然要小得多,但与同系列3s的AD578相比还是逊色不浅ADC0809输入模拟电压为0+5V,是单极性的。但AD574A的输入模拟电压既可以单极性也可以双极性:单极性输入时为:0+10V或0+20V;双极性输入为:5V之间或l0V之间。AD574A的数字量位数可以设定为8位,也可以设定为12位。(2)引脚功能AD574A为28引脚双列直插式封装 模拟量输入线(3条):10VIN为10V量程的模拟电压输入线,单极性时为0+10V,双极性时为5V;20VIN为20V量程模拟电压输入线,单极性时为0+20V,双极性时为士10V。AC为模拟电压公共地线。数字量输出线(12条):DB11DB0为数字量输

59、出线,DB11为最高位;DC为数字量公共接地线,常和AC相连后接地。控制线(6条): 为片选线,低电平有效;CE为片选使能线,高电平有效。 和CE共同用于片选控制,当 为0且CE为1时,选中本片工作,否则本片处于禁止状态。CSCSCSR/ 为读出转换控制输入线。若使R/ C=0,则本片启动工作;若使R/ =1,则本片处于允许读出数字量状态。A0和12/8。这两条控制线能决定进行12位还是8位A/D转换,控制功能如下表所列。应当强调指出,在启动AD574A进行A/D转换时,应先使R/ 为低电平,然后再使 和CE分别变为有效,这样可以避免启动A/D转换前出现不必要的读操作。STS为转换状态输出线。

60、STS为高电平,表示AD574A正处于A/D转换状态;若STS变为低电平,则它的A/D转换已结束,因此,在实用中STS线可供CPU查询,也可作为MCS-51的外中断请求输入线。CCCCS2AD574与8031的接口电路图中:CE、 、R/ 分别是片使能、片选、数据读/启动信号;A0和12/ 用于控制一次输出数据的长度。无论是启动、转换、还是结果输出,都要保证CE端为高电平,故8031的 、 端通过与非门74LS00与AD574的CE端相连,转换结果分高8位、低4位与P0口相接,故12/ 端接地。 、A0、R/ 在读取转换结果时应保持相应的电平,因此用74LS373锁存后接入。转换结束标志信号STS与P

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论