粮仓智能传感器设计_第1页
粮仓智能传感器设计_第2页
粮仓智能传感器设计_第3页
粮仓智能传感器设计_第4页
粮仓智能传感器设计_第5页
已阅读5页,还剩18页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、用于粮仓领域的智能温度传感器的设计摘要:近年来随着计算机在社会领域的渗透,单片机的应用正在不断地走向深入,同 时带动传统控制检测日新月益更新。在实时检测和自动控制的单片机应用系统中, 单片机往往是作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据 具体硬件结构,以及针对具体应用对象特点的软件结合,以作完善。系统以AT89C51单片机为控制核心,利用新型一线制温度传感器DS18B20测量 温度值,实现粮仓环境温度的检测和报警。本文给出了由AT89C51单片机和 DS18B20构成的单总线温度测量系统的硬件电路及软件流程图。该系统具有测点 多、精度高、速度快、稳定性好、报警及时等特点,也可

2、应用于其它相关的温度控 制系统,通用性较强。关键词:一线总线;DS18B20; AT89C51; 数字温度传感器Abstract: The system for the control of the core is AT89C51,the temperature sensors DS18B20 is used to measure temperatureand this system can realize ambient temperature measurement and alarm. This article introduces the hardware circuit which

3、the softwareflow chart constitutes by AT89C51 monolithic integrated circuit and DS18B20. This system has many measuring point, high-precision,wide range of temperature monitoring, good stability and alarms timely, it may also be applied in other related temperature controlsystem and the versatility

4、is strong.Keywords: 1-Wire TM ; DS18B20 ; AT89C51 ; Digit Temperature Densor目录1智能传感器的定义和实现途径31.1智能传感器的定义31.2智能传感器的实现途径3非集成化实现3集成化的实现4混合实现42智能温度传感器的粮仓应用背景介绍53智能温度传感器的设计53.1原理和功能描述5原理5粮仓恒温控制系统完成的功能5 3.2硬件设计6系统结构图6微处理器(单片机)6温度传感器93.3软件设计13系统的的工作过程13读出温度子程序14温度转换子程序14计算温度子程序15显示数据刷新子程序163.4试验结果164结束语17附

5、录1:源程序18附录2:硬件电路图221智能传感器的定义和实现途径1.1智能传感器的定义智能传感器( intelligent sensor)是具有信息处理功能的传感器。智能传感器带 有微处理机,具有采集、处理、交换信息的能力,是传感器集成化与微处理机相 结合的产物。一般智能机器人的感觉系统由多个传感器集合而成,采集的信息需 要计算机进行处理,而使用智能传感器就可将信息分散处理,从而降低成本。与 一般传感器相比,智能传感器具有以下三个优点:通过软件技术可实现高精度的 信息采集,而且成本低;具有一定的编程自动化能力;功能多样化。1.2智能传感器的实现途径非集成化实现r-r -1非集成式智能传感器外

6、壳经典传感器 -f信理电路k微处理器总线接口-数字总线图1非集成化实现结构图将上述电路组合为一个整体,经开发配置可进行通讯、控制、自校正、自补 偿、自诊断等功能的智能化软件,如ST-3000。模糊传感器也是一种非集成化的 智能传感器。图2测量原理图关键问题:软件功能的设计集成化的实现采用微机械加工技术和大规模集成电路技术,称为集成智能传感器( Integrated smart /Intelligent sensor),该技术也称为集成微型传感技术其特点如下:1)微型化血液流量计、发动机叶片表面的气体流速和压力传感器。2)结构一体化传统的加工方法存在蠕变、迟滞、非线性特性。3)精度高减少弓I线长

7、度带来的寄生参量的影响。4)多功能在同一硅片上可制作不同功能的多个传感器。ST - 3000可测压力、压差、温度三种参量。5)阵列式可在8mmx8mm上制作有1024 ( 32x32 )个面阵触觉敏感触点。优点:消除传感器的时变误差和交叉灵敏度的影响,提高传感器的 可靠性、稳定性和分辨能力。6)全数字化通过微机械加工技术制作合适的微结构,使其固有谐振频率可以设 计成某种物理参量的单值函数,通过检测其谐振频率来检测被测物理 量。7)使用方便、操作简单集成化智能传感器的发展趋势:土多功能化与阵列化,软件功能的增强;b.发展谐振式传感器,结合软件信息处理功能。存在的问题:主要是工艺上的。混合实现将和

8、两种方式结合起来,可能的混合集成方式有:总线接口、微处理器、信号处理电路、集成化敏感单元的不同组合。2智能温度传感器的粮仓应用背景介绍我国是一个农业大国,每年都有大量的新粮收获,也有部分粮积压,由于储 存不当造成大量的粮食浪费,给国家和人民造成了巨大的经济损失,粮仓环境成 为决定粮食质量的关键因素。以往采取的方法是用人工的亦法定期对粮食进行晾 晒、通风,消耗了大量的人力和财力。粮食温度检测是储备库中防止粮食霉烂、保质存的重要环节。对于一个农 业大国来讲,粮食生产、需求与储备量都很大。大量粮食在储备的过程中常因粮 食湿度过大而升温发热,导致粮食大量腐烂变质,给国家带来巨大损失。所以根 仓监控系统

9、中温度测量是整个系统的主要功能之一。针对这一现象,目前推广应用的许多智能温度监测系统多采用电阻式温度传 感器检测温度,测量精度低,离散性大,需要入/ D转换,电路复杂。而采用DS18B20 型数字式温度传感器作为温度采集单元,较好地解决了上述问题。3智能温度传感器的设计3.1原理和功能描述原理本文设计的粮仓温度监控系统,采用DS18B20型数字式温度传感器作为温度 采集单元,实现对粮仓温度的自动测量和控制,同时具有显示和报警功能。该系 统具有速度快、性能稳定、电路简单等特点,满足了实时检测和控制的要求。应用程序中,首先对8255进行初始化,设定工作方式0PA 口、PB 口、PC 口 均为输出口

10、,其中PA 口、PB 口为显示输出,PC 口为报警和相关设备驱动口。首 先对温度进行采样,每个温度点采样6次,计算平均值作为采样值,送入显示和 存储的相应单元进行存储和传感器的编号和温度的显示,然后判断温度是否超过 设定温度。如果温度超标则报警,根据传感器的位置,判断启动通风设备或加热 设备;如果不超标就继续检测下一个点的温度,直到整个粮仓的350点温度全部 测量完成。然后计算和显示粮仓的平均温度,最后系统返回再进行温度的巡回测 量和显示。粮仓恒温控制系统完成的功能本设计是对温度进行实时监测与控制,设计的温度控制系统实现了基本的温 控制功能:温度低于设定下限温度时,系统自动启动加热继电器加温,

11、使温度上 升。当温度上升到下限温度以上时,停止加温;当温度高于设定上限温度时,系 统自动启动风扇降温,使温度下降。当温度下降到上限温度以下时,停止降温。温度在上下限温度之间时,执行机构不执行。数码管显示器即时显示温度等相关 功能。3.2硬件设计系统结构图本系统是一个全自动的粮仓温度巡回检测与控制系统。它由以下几部分组成: AT89C51单片机、8255并行接口电路、A/D转换器、温湿度传感器、驱动电路、 报警和显示电路构成,系统的组成如图所示。图3 硬件组成框图微处理器(单片机)微处理器是本系统的核心,其性能的好坏直接影响系统的稳定,鉴于本系统 为实时控制系统,系统运行时需要进行大量的运算,所

12、以单片机采用INTEL公司的 高效微控制器AT89C51。AT89C51是一种带4K字节闪烁可编程可擦除只读存储器(FPEROMFalsh Programmable and Erasable Read Only Memory)的低电压,高性能 CMOS8 位微处理 器,俗称单片机。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业 标准的MCS-51 ?指令集和输出管脚相兼容。由于将多功能8 位 CPU和闪烁存储 器组合在单个芯片中,ATMEL 的 AT89C51是一种高效微控制器,为很多嵌入式控 制系统提供了一种灵活性高且价廉的方案。R ST C iftMfiS U 甘 # I: r

13、rxR ST C iftMfiS U 甘 # I: rrxdj Pa 1 cCCPfl. Q ADKF叩J PM UQS)PO. J 1AD3)J PO 4 UD4)P0.5 MOE)45PO. T 1 AOT )eMtU*AtE/PflOflpEHP2.T |A侦 i P2.a wg MU?P2.a muP2. i 4 Al 1 xP LWM叫I F 虹 1 ( 4B)昨丹阳1卜匚1ED1般5网ft La(TXD F3.1 匚3isXTA.L2417XTALl C516(INT-Oh P3 2G15(INTO P3 3 匚714P3 -1 d81占(Till P9.5 GgiGhID C10

14、11vccJ P1.1 (AIN1)P1.0 (A1N0)P3.7.7E.S.41 3.2 p p p p p PAT89C51AT89C2051图4 AT89C51 引脚图1 ) .主要特性:与MCS-51兼容、4K字节可编程闪烁存储器、寿命:1000 写/擦循环、数 据保留时间:10年、全静态工作:0Hz-24Hz、三级程序存储器锁定、128*8位部 RAM、32可编程I/O线、两个16位定时器/计数器、5个中断源、可编程串行通道、 低功耗的闲置和掉电模式、片振荡器和时钟电路2)管脚说明VCC :供电电压;GND:接地;P0 口: P0 口为一个8位漏级开路双向I/O 口,每 脚可吸收8T

15、TLI电流。当P1 口的管脚第一次写1时,被定义为高阻输入。?0能 够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程 时,P0 口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必 须被拉高;P1 口: P1 口是一个部提供上拉电阻的8位双向I/O 口,P1 口缓冲器能 接收输出4TTLI电流。P1 口管脚写入1后,被部上拉为高,可用作输入,P1 口 被外部下拉为低电平时,将输出电流,这是由于部上拉的缘故。在FLASH编程和 校验时,P1 口作为第八位地址接收;P2口: P2 口为一个部上拉电阻的8位双向 I/O 口,P2 口缓冲器可接收,输出4个

16、TTLI电流,当P2 口被写“1”时,其管脚 被部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低, 将输出电流。这是由于部上拉的缘故。P2 口当用于外部程序存储器或16位地址外 部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1”时,它利用 部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄 存器的容。P2 口在FLASH编程和校验时接收高八位地址信号和控制信号;P3 : P3 口管脚是8个带部上拉电阻的双向I/O 口,可接收输出4个TTLI电流。当?3 口写入“1”后,它们被部上拉为高电平,并用作输入。作为输入,由于外部下拉 为低电平,P

17、3 口将输出电流(ILL)这是由于上拉的缘故;P3 口也可作为AT89C51 的一些特殊功能口,如下表所示:口管脚备选功能、P3.0 RXD (串行输入口)、 P3.1 TXD (串行输出 口)、P3.2 /INT0(外部中断 0)、P3.3 /INT1 (外部中断 1 )、 P3.4 T0 (记时器0外部输入)、P3.5 T1 (记时器1外部输入)、P3.6 /WR (外部数 据存储器写选通)、P3.7 /RD(外部数据存储器读选通)、P3口同时为闪烁编程和 编程校验接收一些控制信号。RST:复位输入。当振荡器复位器件时,要保持RST脚两个机器周期的高电平时 间。ALE/PROG:当访问外部

18、存储器时,地址锁存允许的输出电平用于锁存地址的 地位字节。在FLASH编程期间,此弓I脚用于输入编程脉冲。在平时,ALE端以不 变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部 输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将 跳过一个ALE脉冲。如想禁止ALE的输出可在SFR8EH地址上置0。此时,ALE 只有在执行MOVX, MOVC指令是ALE才起作用。另外,该弓I脚被赂微拉高。如果 微处理器在外部执行状态ALE禁止,置位无效。/PSEN:外部程序存储器的选通信号。在由外部程序存储器取指期间,每个机 器周期两次/PSEN有效。但在访问外部数

19、据存储器时,这两次有效的/PSEN信号将 不出现。3)振荡特性/EA/VPP 当/EA保持低电平时,则在此期间外部程序存储器(0000H-FFFFH),不 管是否有部程序存储器。注意加密方式1时,/EA将部锁定为RESET ;当/EA端保 持高电平时,此间部程序存储器。在FLASH编程期间,此弓I脚也用于施加12V编 程电源(VPP)XTAL1: 反向振荡放大器的输入及部时钟工作电路的输入。XTAL2: 来自反向振荡器的输出。4)芯片擦除:整个PEROM阵列和三个锁定位的电擦除可通过正确的控制信号组合,并保 持ALE管脚处于低电平10ms来完成。在芯片擦操作中,代码阵列全被写“1”且 在任何非

20、空存储字节被重复编程以前,该操作必须被执行。此外,AT89C51设有稳态逻辑,可以在低到零频率的条件下静态逻辑,支持两种软 件可选的掉电模式。在闲置模式下,CPU停止工作。但RAM,定时器,计数器, 串口和中断系统仍在工作。在掉电模式下,保存RAM的容并且冻结振荡器,禁止 所用其他芯片功能,直到下一个硬件复位为止。5)运算器算术/逻辑部件ALU:用以完成+、-、*、/的算术运算及布尔代数的逻辑 运算,并通过运算结果影响程序状态寄存器PSW的某些位,从而为判断、转移、 十进制修正和出错等提供依据。累加器A:在算术/逻辑运算中存放一个操作数或结果,在与外部存储器 和I/0接口打交道时,进行数据传送

21、都要经过A来完成。(3 )寄存器B :在*、/运算中要使用寄存器B。乘法时,B用来存乘数以及 积的高字节;除法时,B用来存除数及余数。不作乘除时,BW作通用寄存器使 用。(4)程序状态标志寄存器PSW :用来存当前指令执行后操作结果的某些特征, 以便为下一条指令的执行提供依据。中断系统:8051单片机的中断系统简单实用,其基本特点是:有5个固定的可屏蔽中断 源,3个在片,2个在片外,它们在程序存储器中各有固定的中断入口地址,由此 进入中断服务程序;5个中断源有两级中断优先级,可形成中断嵌套;2个特殊功 能寄存器用于中断控制和条件设置的编程。5个中断源的符号、名称及产生的条件 如下:INTO:外

22、部中断0,由P3. 2端口线弓|入,低电平或下跳沿引起。INT1:外部中断1,由P3. 3端口线弓|入,低电平或下跳沿弓起。TO:定时器/计数器0中断,由TO计满回零引起。T1:定时器/计数器l中断,由T1计满回零引起。TI/RI:串行1 /O中断,串行端口完成一帧字符发送/接收后弓1起。温度传感器在传统的模拟信号远距离温度测量系统中,需要很好的解决弓I线误差补偿问 题、多点测量切换误差问题和放大电路零点漂移误差问题等技术问题,才能够达 到较高的测量精度。我们在为冰箱测温系统中,为了克服上面提到的三个问题, 采用了新型数字温度传感器 DS1820,在对其测温原理进行详细分析的基础上,提 出了提

23、高DS1820测量精度的方法,使DS1820的测量精度由0.5C提高到0.1 C 以 上,取得了良好的测温效果。1) DS1820 简介DS1820是美国DALLAS半导体公司生产的可组网数字式温度传感器,在其部 使用了在版(ON-B0ARD )专利技术。全部传感元件及转换电路集成在形加一只三 极管的集成电路。与其它温度传感器相比,DS1820具有以下特性。独特的单线接口方式,DS1820在与微处理器连接时仅需要一条口线即可实 现微处理器与DS1820 的双向通讯。DS1820 支持多点组网功能,多个DS1820可以并联在唯一的三线上,实现多 点测温。C.DS1820在使用中不需要任何外围元件

24、。温围一 55C+125C,固有测温分辨率0.5C。测量结果以9位数字量方式串行传送DS1820部结构框图如图所示。DS1820测温原理加图5所示。图中低温度系数晶振的振荡频率受温度影响很 小,用于产生固定频率的脉冲信号送给计数器1。高温度系数晶振随温度变化其振 荡率明显改变,所产生的信号作为计数器2的脉冲输入。计数器1和温度寄存器 被预置在- 55C所对应的一个基数值。计数器1对低温度系数晶振产生的脉冲信 号进行减法计数,当计数器1的预置值减到0时,温度寄存器的值将加1,计数 器1的预置将重新被装入,计数器1重新开始对低温度系数晶振产生的脉冲信号 进行计数,如此循环直到计数器2计数到0时,停

25、止温度寄存器值的累加,此时 温度寄存器中的数值即为所测温度。图5中的斜率累加器用于补偿和修正测温过 程中的非线性,其输出用于修正计数器1的预置值。图6累加器的运算在正常测温情况下,DS1820的测温分辩率为0.5C以9位数据格式表示, 其中最低有效位(LSB )由比较器进行0.25C比较,当计数器1中的余值转化成温 度后低于0.25C时,清除温度寄存器的最低位(LSB),当计数器1中的余值转化 成温度后高于0.25C,置位温度寄存器的最低位(LSB)。2)提高DS1820测温精度的途径a.DS1820高精度测温的理论依据DS1820正常使用时的测温分辨率为0.5C,这对于水轮发电机组轴瓦温度监

26、 测来讲略显不足,在对DS1820测温原理详细分析的基础上,我们采取直接读取 DS1820部暂存寄存器的方法,将DS1820的测温分辨率提高到0.1C0.01C.表1 US1S20智为-奇存露敛布寄存器内昨宇市地点度最 低 数字位0得度最高致字位1高沮阻莅2樵温限值34保蜜5计数剩条值G每度计教值7GRG校衰8DS1820部暂存寄存器的分布加表1所示,其中第7字节存放的是当温度寄 存器停止增值时计数器1的计数剩余值,第8字节存放的是每度所对应的计数值, 这样,我们就可以通过下面的方法获得高分辨率的温度测量结果。首先用DS1820 提供的读暂存寄存器指令(BEH)读出以0.5C为分辨率的温度测量

27、结果,然后切去测 量结果中的最低有效位(LSB),得到所测实际温度整数部分T整数,然后再用BEH 指令读取计数器1的计数剩余值M剩余和每度计数值M每度,考虑到DS1820测 量温度的整数部分以0.25C、0.75C为进位界限的关系,实际温度T实际可用下式 计算得到:T实际=(T整数-0.25C)+(M每度一M剩余)/M每度测量数据比较表2为采用直接读取测温结果方法和采用计算方法得到的测温数据比较,通 过比较可以看出,计算方法在DS1820测温中不仅是可行的,也可以大大的提高 DS1820的测温分辨率。表2 DS 1820 Jl诿!诲结果与计算河沮翠果蓼;提比较灰澈T * W T21 一JO72

28、2D.吊邨234 .O(M)4ZX一 23昂3如跆49.3 观452 .LJOOH431 .564 一蜘49K5M. 174679 .(MMJ55K7了令一】t&7&2.5001683&2.56&3)DS1820使用D注意事项DS1820虽然具有测温系统简单、测温精度高、连接方便、占用口线少等优点, 但在实际应用中也应注意以下几方面的问题:较小的硬件开销需要相对复杂的软件进行补偿,由于DS1820与微处理器间 采用串行数据传送,因此,在对DS1820进行读写编程时,必须严格的保证读写时 序,否则将无法读取测温结果。在使用PL/M、6等高级语言进行系统程序设计时, 对DS1820操作部分最好采用

29、汇编语言实现。在DS1820 的有关资料中均未提及单息线上所挂DS1820 数量问题,容易使 人误认为可以挂任意多个DS1820,在实际应用中并非如此。当单总线上所挂 DS1820超过8个时,就需要解决微处理器的总线驱动问题,这一点在进行多点测 温系统设计时要加以注意。连接。、1820的总线电缆是有长度限制的。试验中,当采用普通信号电缆传 输长度超过50m时,读取的测温数据将发生错误。当将总线电缆改为双绞线带屏 蔽电缆时,正常通讯距离可达150m,当采用每米绞合次数更多的双绞线带屏蔽电 缆时,正常通讯距离进一步加长。这种情况主要是由总线分布电容便信号波形产 生畸变造成的。因此,在用DS1820

30、进行长距离测温系统设计时要充分考虑总线分 布电容和阻挤匹配问题。d.在DS1820测温程序设计中,向DS1820发出温度转换命令后,程序总要等 待DS1820的返回信号,一旦某个DS1820接触不好或断线,当程序读该DS1820 时,将没有返回信号,程序进入死循环。这一点在进行DS1820硬件连接和软件设 计时也要给予一定的重视。3.3软件设计系统的的工作过程系统主程序流程图加图四所示:图7系统主程序流程图首先对特定的单元进行清零,对8255A进行初始化,然后根据实际情况和元 件特性,进入温度测量环节2。由于采用的是一线总线传感器,所以,发操作命 令之前要首先进行初始化,然后,发DS18B20

31、的序列号,即ROM匹配命令对单个 传感器进行操作,测量该传感器点的温度,连续6次,求平均值作为本次的测量 值,然后判断温度是否超标。如果超标就报警,根据传感器的位置判断启动相应 的设备,再送去显示;判断如果350个点都已经测量完成就显示粮仓的平均温度,如果没完成就返回继续进行。读出温度子程序读出温度子程序的主要功能是读出RAM 的 9字节,在读出温度是需要CRC校验,效验有 错时要进行温度数据的该写,如下图所示。发:罪过lOM命伞读阪操fl、CRC校验图8读温度流程图温度转换子程序 温度转换子程序主要是发温度转换开始命令,当采用12位分辨率时转换时间约为 750ms,在本程序设计中采用了 1s

32、显示程序延时等待转换的完成。其流程图如下 所示。图9温度转换流程图发温曳转换开始命令图9温度转换流程图发温曳转换开始命令发DS1SB20K位命令发辟.弋ROM命令计算温度子程序计算温度子程序是将RAM中读取值进行BCD码转换运算,并进行温度值正负的判定, 其流程图如下图所示。TT独计算小数位温度感巾温度值取朴TT独计算小数位温度感巾温度值取朴F拧“一”标志;命整数任温瓯既D图10计算温度流程图显示数据刷新子程序显示数据刷新子程序主要是对显示缓冲中的显示数据进行刷新显示操作,当最高显示位 为0时将符号显示位移入下一位。其流程图如下图显示。温度数据样入m示寄祥器图11显示数据刷新流程图3.4试验结

33、果通过此项设计的分析可得到如下结论:本系统运用单片机速度快、体积水、价格低廉的8位MCS51单片机,可以做 出可行、可靠性强的自动控制产品-粮仓温度的设计系统。在单片机应用环境不是很恶劣的地方,利用软件挤干扰也可以达到精度不高 的要求,而且,节省了硬件资源,降低了产品设计成本,有助于产品的推广。本系统的设计尽量简化电路,提高软件质量。本系统支持多功能模块。如果再加上少许外围器件,加语音芯片,环境温度 传感器,在软件方面采用模糊控制技术,可以使粮仓的智能化大大提高。4结束语本设计以满足工况需要,最大限度地提高工作效率和节省人力物力为出发点, 采用的单片机AT89C51性价比高,而且温度传感器DS

34、18B20转化温度的方法非常 简洁且精度高,系统可以实现温度的巡回测量和显示,并且对温度超标的情况能 够报警同时进行自动选择性的处理,是粮仓温度测量控制的首选产品。5参考文献明荧.8051单片机课程设计实训教材 :清华大学2004军.检测技术及仪表M.:中国轻工业2002.4凌玉华.单片机原理与应用系统设计:中南大学2006鸣,车立新,兴梧,煜.温度传感器DS18B20的特性及程序设计方法.电测与仪表(10).周月霞,传友. DS18B20硬件连接及软件编程J.传感器世界,2001,(12).易雄,建雄DS18B20接口的C语言程序设计.仪器仪表用户,科技大学机电工程 学院,2005,067涛

35、.DS18B20芯片与单片微控制器的接口设计与应用J.煤炭科技,(03).跃东.DS18B2 0集成温度传感器原理及其应用J.工程科技学院学报, 2002,(04) .Zhang Chunzhi Feng Haiming. Design of Micro-controllers Control System of Electric RefrigeratorJ .Journal of Beijing Vocational & Technical Institute of Industry.2002,(03).附录1:源程序#includeUnsignedcharcodeDuan=0 x3f,0 x06,0 x5b,0 x4f,0 x66,0 x6d,0 x7d,0 x07,0 x7f,0 x6f,0 x63,0 x39;unsigned char Data_Buf

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论