FPGA交通灯实验报告_第1页
FPGA交通灯实验报告_第2页
FPGA交通灯实验报告_第3页
FPGA交通灯实验报告_第4页
FPGA交通灯实验报告_第5页
已阅读5页,还剩19页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

实现两路信号灯交替亮起,并利用两组数码管分别对两路信号进行倒计时。两路信号时间分别为:V:绿灯(30S)黄灯(5s)红灯(35S)H:红灯(35S)绿灯(30S)黄灯(5S)建立工程可在欢迎界面点击“CreataNewProject”进入工程建立界面,亦可关闭欢迎界面,点击菜单栏的“File”,点击“NewProjectWizard”进入建立工程界面。t在此界面选定工程路径,取好工程名,点击“Next”。注意:路径中不能有中文,工程名也不能有中文。一直点击“Next”进入器件设置界面,DE2-70开发工具采用成工程建立1、点击“File”,点击“New”选择“VerilogHDL”L代码如下:inputinputClk_50M,Rst;YVgHLdivforHz------start----lkHzHzCntHzdivforHz-----end-----reg[7:0]CntDis,CntDiss;counterandsegstart-tCnttCnttCnttCntVntVntVVntVHHHSEG7_LUThex4(Seg7_VL,CntDis[3:0]);SEG7_LUThex5(Seg7_VH,CntDis[7:4]);CntHHsCntHHssCntHHSEG7_LUThex1(Seg7_HL,CntDiss[3:0]);SEG7_LUThex2(Seg7_HH,CntDiss[7:4]);30counterandseg7----end----ttmoduleSEG7_LUT(oSEG,iDIG);SEGbhoSEGb00;hoSEGb00;hoSEGb01;t-//||//||hoSEGb10;hoSEGb10;hoSEGb00;hoSEGb00;hoSEGb00;---m----//||/lbrb//||---b----haoSEGb0;hboSEGb1;hcoSEGb0;hdoSEGb1;heoSEGb0;4'hf:oSEG=7'b0001110;4'h0:oSEG=7'b1000000;编译工程保存文件,将文件放在所建工程所在路径下点点击主界面工具栏中的图标也可点击菜单栏中“Processing”,点击“StartCompilation”分配关键如下:Clk_50MInputLedG_HOutputOutputLedR_HOutputLedR_VOutputLedY_HOutputLedY_VOutputPIN_AJ7)PIN_AJ5)RstInputSeg7_HH[6]Seg7_HH[5]Seg7_HH[4]Seg7_HH[3]Seg7_HH[2]Seg7_HH[1]Seg7_HH[0]OutputPIN_G1OutputPIN_H3OutputPIN_H2OutputPIN_H1OutputPIN_J2OutputPIN_J1OutputPIN_K3Seg7_HL[6]Seg7_HL[5]Seg7_HL[4]Seg7_HL[3]Seg7_HL[2]Seg7_HL[1]Seg7_HL[0]Seg7_VH[6]Seg7_VH[5]Seg7_VH[4]Seg7_VH[3]Seg7_VH[2]Seg7_VH[1]Seg7_VH[0]Seg7_VL[6]Seg7_VL[5]Seg7_VL[4]Seg7_VL[3]Seg7_VL[2]Seg7_VL[1]Seg7_VL[0]tttttttttttttttttttttINEPINF4NHNHNHNHPIN_AF177PINAE77PIN_AF167PINAE67PIN_AF108PIN_AH98PIN_AF98PIN_AE88烧写代码在管脚配置完成后,还需将工程再编译一次,成功后,点击主界面工具栏中面工具栏中的亦可点击主界面菜单栏中“Tools”,点击“Programmer”进入代码烧写界面后,点

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论