基于eda的数字钟程序设计_第1页
基于eda的数字钟程序设计_第2页
基于eda的数字钟程序设计_第3页
基于eda的数字钟程序设计_第4页
基于eda的数字钟程序设计_第5页
已阅读5页,还剩13页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

一、课题要求:(1)技术要求:1、掌握多功能数字钟的工作原理。2、应用 EDA技术,VHDL 语言编写程序。3、层次化设计,设计原理框图。4,、硬件设计及排版。(2)功能要求:1、基本功能:能进行正常的时、分、秒计时功能,分别由 6个数码管显示 24小时、60 分钟、60 秒的计数器显示。2、 扩展功能:(1)能够利用按键实现“校时” “校分”“清零”功能。(2) 能利用扬声器做整点报时,整点前五秒短声,整点长声。(3)本人工作:负责软件部分,编写各部分模块的 VHDL 程序,并且锁定引脚,将程序下载到芯片中。二、设计方案:原理框图:数字钟原理框图一共有 11 个小模块:分频,片选,按键,小时,分,秒,显示模块,七段显示译码器,报时,扬声,36 译码器。左边第一个是时钟信号输入端,50Mhz 到分频模块。第二个为清零按键,第三个为校分按键,第四个为校时按键。右边第一个为七段显示译码器输出端,第二个为扬声器输出端,第三个为 6 个数码管输出端。数字电路课程设计报告中间模块为数字钟的核心,有计时,报时,校时功能。三、单元模块设计1、分频模块该模块是将时钟脉冲 50Mhz分频到 1000、500 和 1,分别给报时模块和及时模块。数字电路课程设计报告2、秒模块仿真图数字电路课程设计报告该模块为 60进制计数器,有分频模块得到的 1hz进行计时,计时输出为秒的数值,在计时到 59时进位 1到 co端。当按下 s3时,秒清零。3.、分模块仿真图数字电路课程设计报告该模块也为 60进制计数器,计时输出为分的数值。在 EN信号有效且时钟来时,计数器加 1、在 s2按下时,EN 使能端有效,实现校分功能。4、时模块仿真图该模块为 24进制计数器,计时输出为小时的数值,在 EN信号到来时,计数器数字电路课程设计报告加 1,在 S1按下时,EN 信号有效,实现校时功能。5、片选模块仿真图该模块提供数码管片选信号。数字电路课程设计报告6、按键模块仿真图该模块是有几个门电路组成,把它编写成一个模块,到时写程序方便。该模块连接几个按键,由按键控制。数字电路课程设计报告7、报时模块仿真图该模块为整点报时提供控制信号,当 59分时,秒为 50,52,54,56,58 时,Q500输出“1” ;秒为 00时,Q1000 输出“1” 。这两个信号经过逻辑门实现报时数字电路课程设计报告功能。8、BBB 模块仿真图数字电路课程设计报告该模块对应不同

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论