三种图案的霓虹灯_课程设计实验报告.doc_第1页
三种图案的霓虹灯_课程设计实验报告.doc_第2页
三种图案的霓虹灯_课程设计实验报告.doc_第3页
三种图案的霓虹灯_课程设计实验报告.doc_第4页
三种图案的霓虹灯_课程设计实验报告.doc_第5页
已阅读5页,还剩11页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

引言11、设计的意义与要求21、1设计的意义21、2设计的要求22、方案设计32、1设计思路32、2方案设计32、2、1方案一设计电路图32、2、2方案二设计42、3方案比较53、部分电路设计63、1四十进制设计63、2摇摆状态7 3、3暗点循环8 3、4 逐个点亮逐个熄灭84、调试与检测104、1调试中故障及解决办法104、2调试与运行结果105、仿真操作步骤及使用说明11结束语12参考文献13本科生课程设计成绩评定表14武汉理工大学电工电子综合课程设计实验报告引言本次课程设计,要求运用数字电路设计一个三种图案的霓虹灯控制器。初始条件:运用所学的模拟电路和数字电路等知识;用到的元件:实验板、电源、连接导线、74系列芯片、555芯片等。要求完成的任务:1、有4只彩灯,红绿蓝黄,试设计控制器,要求彩灯能实现如下追逐图案,彩灯控制器的三种图案及其状态转换如下所示:2、摇摆状态01011010,重复6次。3、暗点循环01111011110111100111这样重复循环3次。4、逐个点亮,逐个熄灭,000010001100111011110111001100010000这样重复循环2次。5、霓虹灯控制工作状态按照上述2至4步自动重复循环。时间间隔为1秒。6、严格按照课程设计说明书要求撰写课程设计说明书。1、设计的意义与要求1、1设计的意义现实生活中,霓虹灯随处可见,运用所学得的知识设计出一盏霓虹灯是自动化专业学生必备的基本能力,作为武汉理工大学自动化专业一名大学生,我们应该具备这种基本的设计能力,只有把自己学到的知识运用到生产生活的实践之中,我们学到的知识才真变得有用。由于专业的特殊性,要求我们不能只做到纸上谈兵理论只有运用到实践中才有它的价值,本次电工电子综合课程设计正是培养学生这种能力,三种图案的霓虹灯的设计联系生产生活实践,对学生的设计提出要求,这不仅是对我们动手能力的锻炼,更是对我们独立思考、分析能力的培养,同时霓虹灯设计在实际生活中十分常见,应用非常广泛,有很大的现实意义。1、2设计的要求现有4只彩灯,红绿蓝黄,运用所学的模拟电路和数字电路等知识,试设计控制器,要求彩灯能实现如下追逐图案,彩灯控制器的三种图案及其状态转换如下所示:摇摆状态01011010,重复6次;暗点循环01111011110111100111这样重复循环3次;逐个点亮,逐个熄灭,000010001100111011110111001100010000这样重复循环2次;霓虹灯控制工作状态按照上述2至4步自动重复循环。时间间隔为1秒。2、方案设计2、1设计思路首先,分别设计出各个图案的转换方案,即能够单独的实现摇摆状态,暗点循环和逐个点亮与熄灭的状态。然后设计一个四十进制计数器,前12秒是摇摆状态,13到24秒暗点循环,最后16秒逐个点亮和熄灭。最后通过逻辑电路把三部分组合起来实现三种图案的霓虹灯设计要求。时钟信号选通电路逐个点亮熄灭摇摆状态暗点循环 显示灯2、2方案设计2、2、1方案一设计电路图方案1总电路图(图1)第一步,先用555定时器制作一个1hz的脉冲信号。第二步,设计分别实现三种图案的电路图,摇摆状态与暗点循环用74ls90和74ls139即可单独分别实现,逐个点亮逐个熄灭用74ls194制作一个4位扭环形计数器即可。第三步,用两个74ls90制作了一个四分频加一个十进制,相当于一个四十进制。通过设计要求可以分析出摇摆状态、暗点循环、逐个点亮逐个熄灭各占了12、12、16,也就相当于3:3:4,用一个四分频加一个十进制就可以实现前12秒使摇摆状态进行正常工作,13到24秒使暗点循环正常工作,最后16秒使最后一个状态正常工作,即将十进制计数器输出端通过逻辑电路接分别到l1、l2、l3,使l1:0、1、2时输出为1其余状态为0,l2::3、4、5输出为1其余状态为0,l3:6,、7、8、9输出为1其余状态为0,并将l1,l2接上非门分别接到其对应状态的74ls139的使能端,l3直接接到逐个点亮逐个熄灭的74ls194的清零端。最后,经过分析和计算将三种图案状态的输出端和使能端分别通过逻辑电路接到四盏灯上,使摇摆状态、暗点循环、逐个点亮逐个熄灭能够有序的结合并循环起来,从而达到实验要求,实现电路功能。2、2、2方案二设计方案2总电路图(图2)小组电路也是分别设计各个模块然后由定时器电路控制分别显示。小组电路的片选信号产生,是组合逻辑门电路实现。它的输入是定时器信号,输出是三个片选信号。对于设计要求的摇摆图案(01011010)和暗点循环图案(01111011110111100111),小组方案采用异步二-五-十进制加法计数器74ls90和2线4线译码器74ls139芯片来实现;而对于第三种逐个点亮和逐个熄灭的图案(000010001100111011110111001100010000)则采用具有移位寄存功能的74ls194芯片来实现;如果采用74系列数字芯片在面包板上用导线连接完成电路,整个电路的布线将会比较复杂,而且调试和改进也较困难。经小组讨论,在数电课本介绍的quartusii的开发环境下,在fpga片实现小组电路。2、3方案比较方案1个人方案和方案2小组方案相互比较,小组方案运用了更少的逻辑门芯片,电路仿真也比较稳定;在硬件实现上,采用fpgafpga实现,开阔了思路,减轻了制作难度,也更适合当前流行的设计方法,不过fpga的价格较高,在实际应用中性价比偏低。他还用了不太常用的芯片74ls244,对于初学者来说,这个芯片的功能运用不熟练,容易出现错误;个人方案运用了稍少一些芯片,缺点是所使用的逻辑门比较多,在电路连接时较容易出错。3、部分电路设计3、1四十进制设计图3如图3所示,先用74ls190制作一个四进制和一个十进制,然后将q1接出接到十进制的cka端,然后通过卡诺图分析得到l1、l2、l3的逻辑表达式,即得到l1在前12秒为1其余为0,l2在13到24秒为1其余为0,l3在最后16秒为1其余为0的结果。74ls90引脚图及功能表: 表1图43、2摇摆状态图5如图4所示,用74ls90制作一个四进制计数器,将q0、q1分别接a、b,通过74ls139输出,然后将y0、y2和y1、y3分别接入两个与门后输出,在分别将其输出接到d1、d3和d2、d4,在脉冲信号作用下,便能实现摇摆功能。例如:ab输入00时,y3y2y1y0输出1110,灯d1、d3灭,d2、d4亮。74ls139引脚图及功能图:表2图6 3、3暗点循环图7如图5所示,用74ls90制作一个四进制计数器,q0、q1接到a、b上,再将y0、y1、y2、y3分别接到d1、d2、d3、d4上,在脉冲信号作用下即能实现暗点循环的功能。 3、4 逐个点亮逐个熄灭图8如图6所示,用74ls194设计4位扭环形计数器,在脉冲信号作用下,便能够实现逐个点亮逐个熄灭的循环状态。74ls194的引脚图及功能表:表3图9 3、5时钟脉冲信号的制作图10如图8所示,是用555定时器设计的多谐振荡电路,先固定r1、r2、c1的值分别为5k、2.5k、0.01uf,又因为要设计一个频率为1hz的脉冲信号,所以f=1hz,再利用公式f=1.43/(r1+2r2)c求得电容c2的值为0.143mf,根据以上求解设定各个参数,555定时器的输出端q就会输出频率为1hz的脉冲信号。4、调试与检测4、1调试中故障及解决办法实验过程不是一帆风顺,出现的最大的一个问题就是在电路仿真是电路在前二十四秒都运行正常且满足题意,可在二十四秒以后不能实现二极管的逐个点亮逐个熄灭,最初以为是电路设计出现了问题,认真地分析之后确定实验设计没有问题,问题一定出在线路连接上,在同学的帮助下,经过认真仔细的检查,最后发现在逐个点亮逐个熄灭模块漏画了一条线。把漏画的线连上后在进行调试,电路没有故障且满足实验要求。4、2调试与运行结果在protues软件下,点击调试按钮。仿真电路开始工作。运行结果:首先,开始运行时,从左往右数第二盏和第四盏同时点亮,第一盏灯和第三盏灯灭,1秒后,第一盏灯和第三盏灯同时点亮,第二盏和第四盏同时熄灭,此过程重复了6次,时间12秒;然后,左边第一盏灯熄灭,其余三盏灯点亮,过1秒后,左边第二盏灯熄灭,其余三盏灯点亮,依次到第四盏灯熄灭,其余三盏灯点亮,此过程重复3次,时间12秒;最后,四盏灯全灭,每隔1秒,从左往右依次点亮一盏灯,全亮时,从左往右又依次熄灭一盏灯,直至全灭,此过程重复循环2次,时间16秒。此后,四盏灯便按上述步骤所述自动重复循环,每个周期为40秒。5、仿真操作步骤及使用说明点击仿真运行按键后,四盏灯将会按照下面的顺序进行亮灭:1为亮,0为暗。摇摆状态:0101,1010,0101,1010,0101,1010,0101,1010,0101,1010,0101,1010。暗点循环:0111,1011,1101,1110,0111,1011,1101,1110,0111,1011,1101,1110。逐个点亮逐个熄灭:0000,1000,1100,1110,1111,0111,0011,0001,0000,1000,1100,1110,1111,0111,0011,0001。随后,四盏灯按上述状态依次重复运行,周期为40秒。结束语不到两个星期的课程设计,对我来说却收获颇丰,不仅巩固了我的数电知识,还让我深入的理解了各个芯片,之前可以说都分不清编码译码器,现在我已基本掌握进制电路的设计,在实验的一开始,因为自知自己数电学的不是太好,害怕自己不能用芯片加逻辑电路完成实验要求,就打算用单片机的方法设计,虽然最后只是把电路弄了出来,程序的编译没有通过,但在这个过程中我学到了许多单片机的知识,对于大三单片机的学习是一个很好地铺垫。这两周虽然很忙,很累,但同时也很充实,看到自己的设计成功运行的时候,心中的喜悦是无法用语言来形容的,通过这次课设让我对自己的专业有了更深层的了解,有了更深的了解更增加了我对专业的兴趣,锻炼了我独立思考的能力,希望自己能继续保持,争取在将来能走的更高更远。参考文献1电子技术基础第五版 高等教育出版社 主编:康华光2数字电子技术基础清华大学出版社 主编:伍时和3 高吉祥. 电子技术基础实验与课程设计. 电子工业出版社,20024 王兴亮主编.现代音响和调音技术.西安电子科技大学出版,20065 包亚萍主编.数字逻辑设计与数字电路实验技术.中国水利出版社,20036 朱宝华主编.电子测试与实验.清华大学出版社,2004.47 陈永甫主编.数字电路基础及快速识图.人民邮电出版社,2006.58 周惠朝.常用电子元件及典型应用.电子工业出版社,20059 刘修文主编.实用电子电路设计制作300例.中国电力出版社,2005本科生课程设计成绩评定表姓 名许帅帅性 别男专业、班级自动化1101课程设计题目:三种图案霓虹灯控制器设计课程设计答辩或质疑记录:1、 如何用555芯片实现1hz脉冲输出?答:用555定时器搭建多谐振荡电路,固定r1,r2,c1的值分别为5k、2.5k、0.01uf,又因为f=1hz,再根据公式f=1.43/(r1+2r2)c求得电容c2的值为0.143mf。安如上设计的参数搭建电路就可实现1hz脉冲输出。2、 如何用74ls90实现四进制计数器?答:通过74ls90级联可以实现任意

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论