函数发生器设计报告.doc_第1页
函数发生器设计报告.doc_第2页
函数发生器设计报告.doc_第3页
函数发生器设计报告.doc_第4页
函数发生器设计报告.doc_第5页
已阅读5页,还剩6页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

200 8级电子信息工程模拟电路课程设计报告设计题目函数发生器姓名及学号邓文军20080342032雍君兰20080342038 游钱涛20080342052学院物理与电子信息工程学院专业电子信息工程班级08级6班指导教师史志伟2010年11月26日电子信息工程专业模拟电路课程设计函数发生器设计引言3一设计要求:3二设计方案的比较和选定:41、 方案一42、 方案二43、 方案三4三系统方框图:41、内部电路结构:42、典型应用电路:5四电路工作原理:61、方波产生电路:62、三角波-正弦波产生电路:63、外围元件的作用:6五单元电路设计参数计算与元器件选择:81、电压选择:82、振荡元件RC的选择:83、固定电阻:84、幅值调节电路R7的选择:85、其他元件参数:9六完整电路图:9七电路板的制作及电路焊接与调试 :9八在调试及组装电路过程中出现的问题及解决方法:9九设计心得体会:10十元器件清单:10十一参考文献:10十二附录:11引言函数发生器一般是指能自动产生正弦波、方波、三角波及锯齿波、阶梯波等电压波形的电路或仪器。根据用途不同,有产生三种或多种波形的函数发生器,使用器件可以是分立器件(如低频信号函数发生器S101全部采用晶体管),也可以采用集成电路(如单片函数发生器模块8038)。为了进一步掌握电路的基本理论及实验调试技术,本课题采用集成电路(单片函数发生器模块XR-2206)产生方波-三角波-正弦波函数发生器的设计方法。产生正弦波、方波、三角波的方案有多种,如首先产生正弦波,然后通过整形电路将正弦波变换成方波,再由积分电路将方波变成三角波;也可以首先产生三角波-方波,再将三角波变成正弦波或将方波变成正弦波等等。一设计要求:在测量、自动控制、无线电通讯等领域中,广泛采用各种信号产生电路,常用的波形有方波、三角波和正弦波等波形。本项设计任务要求采用:通过运算放大器或单片机及其他电子元件设计一个函数发生器,该函数发生器能够产生方波、三角波和正弦波三种波形,具体指标如下:(1) 输出方波峰值Upp=6V,振荡频率在1KHz左右连续可调;(2) 输出三角波峰值Upp=3V,振荡频率在1KHz左右连续可调;(3) 正弦波峰值Upp3V,振荡频率为1KHz;二设计方案的比较和选定:1、 方案一采用低温漂、低失真、高线性单片压控函数发生器ICL8038,产生频率受控可变的正弦波可实现数控频率调整。通过D/A和5G353进行输出信号幅度的控制。输出信号的频率、幅度参数由4x4位键盘输入,结果输出采用6位LED显示,用户设置信息的存储由24C01完成。2、 方案二由2M晶振产生的信号,经8253分频后,产生100Hz的方波信号。由锁相环CD4046和8253进行N分频,输出信号送入正弦波产生电路和三角波产生电路,其中正弦波采用查表方式产生。计数器的输出作为地址信号,并将存储器2817的波形数据读出,送DAC0832进行D/A转换,输出各种电压波形,并经过组合,可以得到各种波形。输出信号的幅度由0852进行调节。系统显示界面采用16字x1行液晶,信号参数由4x4位键盘输入,用户设置信息的存储由24C01完成。3、 方案三以4M石英晶振作为参考源,通过F374,F283以及LS164组成的精密相位累加器,通过高速D/A变换器和ROM产生正弦波形,这个数字正弦波经过一个模拟滤波器后,得到最终的模拟信号波形。通过高速D/A产生数字正弦数字波形和三角数字波形,数字正弦波通过带通滤波器后得到一个对应的模拟正弦波信号,最后该模拟正弦波与一门限进行比较得到方波时钟信号。通过相位累加器来实现多种波形的同相位输出,并可以连续地改变频率。输出信号幅度由TLC7524进行数字控制。用户设置信息的存储由24C01完成。由于这三种方案涉及的知识面太广,内容复杂,相关器材比较多。考虑到实用并且经济的条件,因此我们不才用以上三种方案。而是采用集成电路模块XR-2206组成一个函数发生器。我们也没有采用集成运放电路来实现!因为相关电路太多变换步骤繁琐。很难实现。三系统方框图:XR-2206集成芯片是一个能产生正弦波、方波、三角波、锯齿波和脉冲信号的专用函数发生器。主要用于信号产生单路和电力载波电路中。1、内部电路结构:XR-2206内部电路主要由压控振荡器,波形变换电路,电子开关,输出缓冲器等组成,内部结构如图所示。2、典型应用电路:XR-2206组成的方波-三角波-正弦波发生器电路原理图。四电路工作原理:1、方波产生电路:XR-2206内部振荡器(VCO)、电子开关电路(Current Swithes)与外定时元件RC组成振荡电路。振荡电容C由5、6脚接入。振荡频率由RC、元件确定:振荡频率f=改变R或C的值可以调节电路的振荡频率。振荡信号经内部三极管整形放大由11脚输出方波信号。内部三极管集电极直流偏置电压由电源电压Vcc经10K电阻从11脚引入。2、三角波-正弦波产生电路:XR-2206内部波形变换单元(Mult.andSine Shaper)、缓冲放大器与3、13、1415、16脚外接元件组成。振荡电路产生的方波信号由波形变换电路形成三角波和正弦波经缓冲放大器由2脚输出。3、外围元件的作用:3脚外接电压调整电路可实现对输出三角波、正弦波的幅值控制。电源电压Vcc经两只5.1K电阻分压后由电位器R3向3脚提供一直流控制电压,改变R3可调节3脚直流电平,从而控制2脚输出三角波或正弦波信号幅值,幅值控制特性如图所示:电位器可动触头端与地间电容为消噪电容,作用是平滑直流电平,滤除触头滑动所产生的噪声对输出波形的影响13、14脚外接开关S1和电位器RA实现输出信号波形选择和正弦波失真度调节:断开时,2脚输出波形为三角波;开关S1接通时,2脚输出波形为正弦波,改变RA可对输出正弦波的失真度进行调整。15、16脚外接RB为波形对称调节电位器,调节RB可改变三角波和正弦波正负半周的对称性,同时可调节输出三角波的线性度。10脚外接电容为XR-2206内部稳压电路滤波电容,4脚电源电压输出端对地电容为去耦电容。调幅信号输入端1脚接地,9脚FSK调制信号输入端和8脚定时元件输入端悬空。五单元电路设计参数计算与元器件选择:1、电压选择:根据XR-2206的主要技术指标及实验条件采用12V单电源供电。2、振荡元件RC的选择:采用电容分段,调整电阻改变频率方式。频率分为II段,用波段开关进行切换。根据关系式f=,设R的最小值为1K,确定各频段C值和R的最大值。a) I段按最高工作频率和R的最小值确定C1C=10uF按最低工作频率和C1确定R的最大值Rmax=100KR的可调范围:1 K100 K,R4=99 K选用R4=100 K电位器可满足频率调节要求。根据确定的R值:C4=0.1uFb) II段C1:由f=得C1=1uF根据计算结果选择RC元件/ 3、固定电阻:可选用标称值为1 K,0.125W的金属膜电阻,电位器可选用标称值100 K0.125W碳膜电位器;C1选用16V10uF电解电容,C4选用0.1uF瓷介电容。 4、幅值调节电路R7的选择:根据XR-2206输出三角波-正弦波电压幅值与R7的关系曲线,取R7=50K,可满足设计要求。故选用50 K,0.125碳膜电位即可。 5、其他元件参数:电容均选用耐压为16V的电解电容,固定电阻选用0.125W金属膜电阻,电位器均选用0.125W的碳膜电阻。六完整电路图:七电路板的制作及电路焊接与调试 :采用分别调试各个单元模块的方法,分别用示波器、12V直流电源、万用表等等仪器。电路板运用protel99se软件首先画好电路原理图,然后找出每个电路元器件的封装形式,在进行ERC校验看是否有错,确定没错再生成PCB图,由于有两个元器件是自己做的封装首先必须先添加自己的库文件然后在省城PCB版。接下来就是对PCB进行布线的操作,由于电路图简单所以采用手动布线布线。实际电路板的制作运用已经布线好的PCB到实验机房制作电路板,电路板经过了锯面包板、钻孔、打印、高温附印、电解液腐蚀、防腐蚀等几个步骤,对做好的PCB电路板进行元器件的安装,然后就是焊接,焊接要防止虚焊脱焊等等。八在调试及组装电路过程中出现的问题及解决方法:1、 焊接时需要对各个模块电路进行单个调试,需要时可以设计一些临时电路用于调试,2、 测试电路时,必须保证焊接正确,才能打开电源,以防元器件烧坏。3、 按照原理图焊接时必须保证可靠接地。九设计心得体会:1、 学习了基本理论的实践中综合运用的初步经验。掌握模拟电路设计的基本方法、设计步骤,形成了综合设计与调试的能力。2、 学会了函数发生器设计方法和性能指标的测试方法。3、 提高了实践技能,提高了分析和解决实际问题的能力。十元器件清单:集成XR-2206函数发生器元件清单(1套)名称 型号 数量 备注1、集成块XR-2206 1片2、电位器100K、50K、25K、500各一个0.125w3、电阻5.1K/0.125

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论