(好论文)电梯 毕业设计说明书_第1页
(好论文)电梯 毕业设计说明书_第2页
(好论文)电梯 毕业设计说明书_第3页
(好论文)电梯 毕业设计说明书_第4页
(好论文)电梯 毕业设计说明书_第5页
已阅读5页,还剩40页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

摘 要电梯作为现代化的产物,早在上个世纪就进入了我们的生活之中。设计就是基于电子设计自动化(Electronic Design Automation)技术中的甚高速集成电路硬件描述语言(Very High Speed Integrated Circuit Hardware Description Language)语言所开发的三层电梯控制程序。VHDL具有与具体硬件电路无关和设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化结构化设计方面,表现了强大的生命力和应用潜力。本文介绍了基于VHDL语言设计的电梯控制器,并进行了电路综合和仿真。该控制器遵循方向优先的原则,提供6个楼层多用户的载客服务并指示电梯的运行情况。通过程序调试及运行仿真,结果表明,本程序可以完成:电梯运行所在楼层指示、电梯运行方向指示、关门延时设置、看门狗报警、超载报警、故障报警等。关键词:VHDL、控制器、EDA、电梯AbstractThe lift , as the modernized result, are entered in our life in last century. This thesis designs the three - floor lift control on the basis of the electron basic on the electronic design automation(EDA) technologys the very high-speed integrated circuit hardware describe language(VHDL). VHDL has with the concrete hardware electric circuit has nothing to do with and designs the characteristic which the platform has nothing to do with, and has the good electric circuit behavior description and the system description ability, and in language legible and has orderliness the structurization design aspect, has displayed the formidable vitality and the application potential. Introduced based on the VHDL language design elevator controller, and has carried on the electric circuit synthesis and the simulation. This controller follows the direction first principle, provides 6 floors multi user to carry passengers to serve and to instruct the elevator the movement situation. Through testing the connection of the EDA case, realize the following main functions: The lift s floor shows, the lift operation direction shows; closes prolonging the dog reports to the police; overloads reporting to the police fault alarm ,etc.Key word: VHDL, controller, EDA, elevator 1引言1.1 选题背景随着科学技术的发展、近年来,我国的电梯生产技术得到了迅速发展一些电梯厂也在不断改进设计、修改工艺。更新换代生产更新型的电梯,电梯主要分为机械系统与控制系统两大部份,随着自动控制理论与微电子技术的发展,电梯的拖动方式与控制手段均发生了很大的变化,交流调速是当前电梯拖动的主要发展方向。目前电梯控制系统主要有三种控制方式:继电路控制系统(早期安装的电梯多位继电器控制系统)、FPGA/CPLD控制系统、微机控制系统。继电器控制系统由于故障率高、可靠性差、控制方式不灵活以及消耗功率大等缺点,目前已逐渐被淘汰。微机控制系统虽在智能控制方面有较强的功能,但也存在抗扰性差,系统设计复杂,一般维修人员难以掌握其维修技术等缺陷。而FPGA/CPLD控制系统由于运行可靠性高,使用维修方便,抗干扰性强,设计和调试周期较短等优点,倍受人们重视等优点,已成为目前在电梯控制系统中使用最多的控制方式,目前也广泛用于传统继电器控制系统的技术改造。目前国内七八十年代安装的许多电梯电气部分用继电器接触器控制系统,线路复杂,接线多,故障率高,维修保养难,许多已处于闲置状态,其拽引系统多采用交流双速电机系统换速,效率低,调速性能指标较差,严重影响电梯运行质量。由于这些电梯交流调压调速系统,交流双速电机拖动系统性能及乘坐舒适感较差,交流调压调速系统属能耗型调速的机械部分无大问题,为节约资金,大部分老式电梯用户希望对电梯的电气控制系统进行改造,提高电梯的运行性能。因此对电梯控制技术进行研究,寻找适合我国老式电梯的改造方法具有十分重要的意义。电梯作为高层建筑物的重要交通工具与人们的工作和生活日益紧密联系。FPGA/CPLD作为新一代工业控制器,以其高可靠性和技术先进性,在电梯控制中得到广泛应用,从而使电梯由传统的继电器控制方式发展为计算机控制的一个重要方向,成为当前电梯控制和技术改造的热点之一。1.2 电梯设计的要求1)每层电梯入口处设有上下请求开关各1个,电梯内设有乘客到达层次的数字开关。电梯当前所在的楼层位置用两位数码管显示,用两只发光二极管显示开门/关门状态,用发光二极管显示每层的上下请求状态。2)显示电梯当前所处位置和电梯上行、下行及开门、关门状态。3)电梯到达有停靠站请求的楼层后,电梯门就会自动打开门指示灯亮,开门6秒后,电梯门自动关闭(开门指示等灭)电梯继续运行。4)能记忆电梯内外的所有请求信号,并按照电梯运行的规则次第响应,即电梯上升时只能响应高层的呼唤的请求,下降时只响应低层的呼唤请求,每个请求信号保留到执行后撤出。5)当没有请求信号时,电梯停在一楼。6)电梯有超载提示。当电梯内部超载过电梯规定的人数或重量后,电梯会自动报警,提示电梯已超载。 2 设计的基础依据现代电子设计技术的核心是 EDA 技术。基于 EDA 技术开发的实现六层电梯自动控制与目前主流的利用可编程逻辑控制器实现电梯控制紧密相连。硬件描述语言是EDA 技术的重要组成部分,VHDL 是作为电子设计主流硬件的描述语言。使用VHDL 语言进行程序的设计,在MAX+plusII 软件上对程序进行编译、仿真。在MAX+plusII 平台上的开发具有编程软件具有采自易学易懂的梯形图语言、控制灵活方便、抗干扰能力强、运行稳定可靠等优点。2.1 EDA 技术介绍 EDA 技术是20 世纪90 年代初从计算机辅助设计(Computer Aided Design)、计算机辅助制造(Computer Aided Manufacture)、计算机辅助测试(Computer Aided Testing)和计算机辅助工程(Computer Aided Engineering)的概念发展而来的。随着超大规模集成电路(Very Large Scale Integration)规模和技术复杂度的急剧增长,一块芯片内集成门已可达几十万甚至几百万门,并且还在迅速增长,电子系统的人工设计已十分困难,必需依靠电子设计自动化技术。在利用EDA 进行集成电路设计时,应采用高效率的TOP-DOWN 设计方法,即根据系统的行为和功能要求,自上而下地依次完成相应的描述、综合、优化、仿真与验证,直到生成器件。在电路描述时主要采用硬件描述语言(HDL)。硬件描述语言是用于设计硬件电子系统的计算机语言,它描述电子系统的逻辑功能、电路结构和连接方式。设计者可以利用HDL 程序来描述所希望的电路系统,规定其结构性和电路的行为方式;然后利用 EDA 工具将此程序变成能控制场效应可编程门阵列(Field Programmable Gate Array)/复杂可编程逻辑器件(Complex Programmable Logic Device)内部结构并实现相应逻辑功能的门级或更底层的结构网表文件和下载文件。现代EDA 技术的基本特征是采用高级语言描述,具有系统级仿真和综合能力。它主要采用从系统设计入手,在顶层进行功能方框图的划分和结构设计,在方框图一级进行仿真、纠错、并用VHDL、 ABEL 等硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证然后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。简单来说就是依赖功能强大的计算机,在 EDA 工具软件平台上,对以硬件描述语言HDL 为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、逻辑化简、逻辑分割、逻辑综合、结构综合(布局布线),以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。2.2 VHDL语言概述2.2.1 VHDL简介硬件描述语言是EDA技术的重要组成部分,VHDL是电子设计的主流硬件描述语言。VHDL的英文全名是Very-High-Speed Integrated Circuit Hardware Description Language。,诞生于1982年。1987年底,VHDL被IEEE和国美国国防部却认为标准硬件描述语言。自IEEE公布了VHDL的标准版本(IEEE 1076)之后,数个EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准硬件语言。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了型板本的VHDL,即IEEE 1076-1993版本。现在,VHDL作为IEEE的工业标准化硬件描述语言,又得到众多EDA概述的支持,在电子工程领域,已成为事实上的通用硬件描述语言。2.2.2 VHDL的优点VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。应用VHDL进行工程设计的优点是多方面的。1)与其他的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。2)VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。3)VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。符合市场需求的大规模系统高效,高速的完成必须有多人甚至多个代发组共同并行工作才能实现。对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。 4)VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。 2.2.3 VHDL语言的开发1)语句结构描述中方括号“”内的内容为可选内容。2)VHDL的编译器和综合器对程序文字的大小写是不加区分的。3)程序中的注释用双“-”。在VHDL程序的任何一行中,双横线“-”后的文字都不参加便宜的综合。4)为了便于程序的阅读和调试,书写和输入程序时,使用层次需同一格式,同一层次的对齐,低层次的比高层次的缩进两个字符。5)为了使用一个VHDL源程序文件能适应各EDA开发软件上的使用要求,建议各个源程序文件的命名均与实体名一致。 2.3 大规模可编程逻辑器件可编程逻辑器件(简称PLD)是一种由用户编程以实现某种逻辑功能的新型逻辑器件。FPGA和CPLD分别是现场可编程门阵列和复杂可编程逻辑器件的简称。国际上生产FPGA/CPLD的主流公司,并且在国内占有市场份额较大的主要是Xilinx,Altera,Lattice三家公司。Xilinx公司的FPGA器件有XC2000、XC3000、XC4000、XC4000E、XC4000XLA、XC5200系列等,可用门数为120018000;Altera公司的CPLD器件有FLEX6000、FLEX8000、FLEX10K、FLEX10KE系列等,提供门数为500025000;Lattice公司的ISPPLD器件有ispLSI1000、ispLSI2000、ispLSI3000、ispLSI6000系列等,集成度可多达25 000个PLD等效门。FPGA 在结构上主要分为三个部分,即可编程逻辑单元,可编程输入/输出单元和可编程连线三个部分。CPLD在结构上主要包括三个部分,即可编程逻辑宏单元,可编程输入/输出单元和可编程内部连线。高集成度、高速度和高可靠性是FPGA/CPLD最明显的特点,其时钟延时可小至ns级。结合其并行工作方式,在超高速应用领域和实时测控方面有着非常广阔的应用前景。在高可靠应用领域,如果设计得当,将不会存在类似于MCU的复位不可靠和PC可能跑飞等问题。FPGA/CPLD的高可靠性还表现在几乎可将整个系统下载于同一芯片中,实现所谓片上系统,从而大大缩小了体积,易于管理和屏蔽。与ASIC设计相比,FPGA/CPLD显著的优势是开发周期短、投资风险小、产品上市速度快、市场适应能力强和硬件升级回旋余地大,而且当产品定型和产量扩大后,可将在生产中达到充分检验的VHDL设计迅速实现ASIC投产。3 总体方案选择电梯的微机化控制主要有以下几种形式:1 PLC控制;2 单板机控制;3 单片机控制;4 单微机控制;5 多微机控制;6 人工智能控制。随着EDA技术的快速发展,CPLD/FPGA已广泛应用于电子设计与控制的各个方面。但是本设计屏弃以前老式的采用PLC设计电梯控制器,而是使用一片来实现对电梯的控制的。3.1 基于PLC 技术的电梯控制设计方案可编程控制系统是一种专门为在工业环境下应用而设计的数字运算操作电子系统。它采用一种可编程的存储器,在其内部存储执行逻辑运算、顺序控制、定时、计数和算术运算等操作的指令,通过数字式或模拟式的输入输出来控制各种类型的机械设备或生产过程。 可编程控制器是计算机技术与自动化控制技术相结合而开发的一种适用工业环境的新型通用自动控制装置,是作为传统继电器的替换产品而出现的。随着微电子技术和计算机技术的迅猛发展,可编程控制器更多地具有了计算机的功能,不仅能实现逻辑控制,还具有了数据处理、通信、网络等功能。由于它可通过软件来改变控制过程,而且具有体积小、组装维护方便、编程简单、可靠性高、抗干扰能力强等特点,已广泛应用于工业控制的各个领域,大大推进了机电一体化的进程。PLC 的特点可综述如下: (1)高可靠性 (2)编程简单,使用方便(可采用梯形图编程方式,与实际继电器控制电路非常接近,一般电气工作者很容易接受) (3)环境要求低(适用于恶劣的工业环境)(4)体积小,重量轻 (5)扩充方便。3.2 PLC电梯的缺点 当今电梯市场已经有多种电梯控制器的设计方案。但大多数仍然是采用PLC实现电梯的升降控制,但是采用 PLC实现电梯控制器的设计存在大量的缺点。如果采用硬件描述语言来完成电梯控制器的设计,就可以克服PLC电梯的大部分缺点。 目前,在我国国产电梯大部分为继电器及PLC控制方式,继电器控制系统性能不稳定、故障率高,大大降低了电梯的舒适性、可靠性和安全性,经常造成停梯,给乘用人员的生活和工作带来了很多不便, 因而传统的电梯控制系统(PLC)的更新势在必行。 当前电梯发展的智能化发方向是IC卡智能电梯,但最终的发展是声控智能电梯,IC卡智能电梯PLC都需通过外加IC读卡芯片才能实现,PLC单独是不能实现的,那以后的声控智能电梯PLC就能不能单独实现,然而我们采用VHDL技术就可以实现电梯的智能化,节能,也可以提高电梯的舒适性,可靠性和安全性。3.3 基于EDA 技术的电梯控制设计方案 EDA 技术开发手段多样,其中应用最为广泛的就是通过程序对硬件进行开发,而其中又数 VHDL 语言最受设计者的欢迎。 EDA 技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和 EDA 软件来完成对系统硬件功能的实现。近几年来,硬件描述语言等设计数据格式的逐步标准化,不同设计风格和应用的要求导致各具特色的EDA 工具被集成在同一个工作站上,从而使EDA 框架日趋标准化。VHDL 丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。VHDL 语言的设计单元包括实体(entity)、结构体(architecture)、程序包(package)以及配置(configuration)。 初级设计单元实体(entity),是设计的基本模块和设计的初级单元,在分层次设计中,顶层有顶级实体,含在顶级实体中的较低层次的描述为低级实体,靠配置把顶层实体和底层实体连接起来。实体说明中还可以说明数据类型、子程序和常量等数据信息,实体语句常用于描述设计常用到的判断和检查信息。 次级设计单元结构体(architecture),实体的结构体具有描述实体的行为功能,一个实体可以有多个结构体,一种可能为行为描述,另一种为结构描述。结构体能以行为、数据流和结构等多种方式描述实体。 VHDL 语言还包括程序包和配置初级设计单元。 VHDL 语言的基本术语往往能体现其严密的逻辑结构: VHDL 语言的基本术语包括进程(process)、类属(generic)、属性(attribute)以及驱动(drive)。 进程(process)是 VHDL 中的基本执行单元,仿真时把所有的操作划分为单个或多个进程。进程内部只含顺序执行的语句,即一串信号赋值中仅最后的赋值有效。进程内不能说明信号,而变量在进程内说明。 其具体的流程图如图1 所示。设计思想及模块化设计输入设计实现设计仿真器件编程系统测试3.4电梯控制方式选择3.4.1.内部请求优先控制方式内部请求优先控制方式类似于出租车的工作方式,先将车上的人送至目的地,再去载客。作为通用型电梯应该服务于大多数人,必须考虑电梯对内、外请求的响应率P: Pin = 100%; Pout = 0-100%;在内部请求优先控制方式中,当电梯外部人的请求和电梯内部人状态请求冲突时,外部人的请求信号可能被长时间忽略,因而它不能作为通用型电梯的设计方案。3.4.2.单向层层停控制方式单向层层停控制方式等同于火车的运行方式,遇站即停止、开门。这种方案的优点在于“面面俱到”,可以保证所有人的请求都能得到响应。然而这样对电梯的效率产生消极影响:不必要的等待消耗了大量时间,而且电梯的运作与用户的请求无关,当无请求时电梯也照常跑空车,就浪费了大量电能。对用户而言,此种控制方式的请求响应时间也不是很快。因而这不是理想的方案。3.4.3.方向优先控制方式方向优先控制是指电梯运行到某一楼层时先考虑这一楼层是否有请求:有,则停止; 无,则继续前进。停下后再启动时,考虑前方上方、或下方是否有请求:有,则继续前进;无,则停止;检测后方是否有请求, 有请求则转向运行, 无请求则维持停止状态。这种运作方式下,电梯对用户的请求响应率为100%,且响应的时间较短。假设: 电梯每两层间的运行时间为T ,楼层数为6, 在每层楼的停止时间为t, 如果每层楼都有请求,则这种控制方式的效率和上面的单向每层停等控制方式的效率一样, 然而, 当不是每层楼都有请求 (假定为只有第6层有请求输入) 时,上面的方式2(设为A方式)的响应时间T=5*(T + t ) 而方向优先控制方式(设为B方式)对同一请求的响应时间T1=5*T 效率比 b/a = 1 + t /T 方向优先控制方式的效率远大于单向层层停等控制方式的效率。 而且,方向优先控制方式下,电梯在维持停止状态的时候可以进入省电模式,又能节省大量电能,本设计选择方向优先控制方式3.3 总体方案决定本次设计尝试用硬件描述语言(VHDL)来实现电梯控制,可进行多层次的逻辑设计,也可进行仿真验证、时序分析等以保证设计的正确。在使用VHDL进行电梯控制器的设计,主要就是对电梯软件部分进行设计,使用VHDL中的逻辑关系建立电梯的升降模式,开门,关门达到动作,而外部的硬件设备基本上保持不变。但是使用VHDL硬件描述语言设计电梯控制器可以为以后电梯实现智能控制奠定基础。4 电梯控制器的模块设计4.1电梯系统组成电梯方向优先控制方式控制系统方框图4.2 模块设计4.2.1. 外部数据高速采集模块设计对外部信号采集、处理要求电梯控制器: (1)外部请求信号的实时、准确采集。(2)准确、实时的捕捉楼层到达信号。(3)有效的防止楼层到达信号、外部请求信号的误判。控制器采用FPGA作为系统控制的核心,系统时钟频率是32.0000MHz,完全可以满足实时采集数据的要求。由于电路中毛刺现象的存在,信号的纯净度降低,单个的毛刺往往被误作为系统状态转换的触发信号,严重影响电梯的正常工作。可以采用多次检测的方法解决这个问题,对一个信号进行多次采样以保证信号的可信度。外部请求信号的输入形式为按键输入,到达楼层信号来自光敏传感器,关门中断信号及超载信号则产生于压力传感器。 4.2.2.信号存储模块 电梯控制器的请求输入信号有18个(电梯外有6个上升请求和6个下降请求的用户输入断口,电梯内有6个请求用户输入断口),由于系统对内、外请求没有设置优先级,各楼层的内、外请求信号被采集后可先进行运算,再存到存储器内。电梯运行过程中,由于用户的请求信号的输入是离散的,而且系统对请求的响应也是离散的,因此请求信号的存储要求新的请求信号不能覆盖原来的请求信号,只有响应动作完成后才能清除存储器内对应的请求信号位。4.2.3. 基于FPGA的中央处理模块中央数据处理模块是系统的核心,通过对存储的数据(含请求、到达楼层等信号)进行比较、判断以驱动系统状态的流转。电梯工作过程中共有种状态:等待、上升、下降、开门、关门、停止、休眠、超载报警以及故障报警状态。一般情况下,电梯工作起始点是第一层,起始状态是等待状态,启动条件是收到上升请求。超载状态时电梯关门动作取消,同时发出警报,直到警报被清除; 故障时电梯不执行关门动作,同时发出警报,直到警报被清除(看门狗信号有效的条件是一层楼连续发生关门中断情况超过3次)。本系统由请求信号启动,运行中每检测到一个到达楼层信号,就将信号存储器的请求信号和楼层状态信号进行比较,再参考原方向信号来决定是否停止,转向等动作。4.2.4.信号的输出、显示模块本系统的输出信号有两种: 一种是电机的升降控制信号(两位)和开门/关门控制信号;另一种是面向用户的提示信号(含楼层显示、方向显示、已接受请求显示等)。电机的控制信号一般需要两位,本系统中电机有3种工作状态: 正转、反转和停转状态。 两位控制信号作为一个三路开关的选通信号,此三路开关选用模拟电子开关。系统的显示输出包括数码管楼层显示、数码管请求信号显示和表征运动方向的箭头形指示灯的开关信号。完全可以满足人们的需要,而且效率比较高本系统具有请求信号显示功能,结合方向显示,可以减少用户对同一请求的输入次数,这样就延长了电梯按键的使用寿命。假如电梯处于向上运动状态,初始位置是底层,初始请求是6楼,2楼时进入一人,如果他的目的地也是6楼,他看到初始请求是6楼,就可以不再按键。同时,电梯外部的人也可根据请求信号显示(上升请求、下降请求、无请求),就可以避免没必要的重复请求信号输入。电梯使用时,系统结合相应的电梯使用规范。5硬件电路设计5.1 FPGA器件硬件电路5.1.1 选择所需的fpga 器件选用fpga芯片用于开发项目时,需要考虑以下几个因素:(l)器件的资源是否满足设计的需要在电子产品的设计中,首先要考虑的所选器件的逻辑资源量是否满足本系统功能的实现。因此应适当的估测一下功能资源以确定使用什么样的器件。(2)芯片速度随着可编程逻辑器件集成技术的不断提高,fpga的工作速度也在不断的提高,在对系统的具体设计中,应该对芯片速度的选择有一定的考虑,芯片的速度也并不是越快越好。速度选择应与所设计的系统的最高工作速度保持一致。如果使用了速度过高的芯片将会加大电路设计的难度。这是因为器件的高速性能越好,其对外界微小毛刺信号的反应灵敏度也越好,如果电路处理不当,或者编程前的配置选择不当,极易使系统处于不稳定的工作状态。(3)CPLD的封装CPLD的封装形式有很多,同一型号类型的器件可以有多种不同的封装。常用的是PLCC封装,由于通常可以比较方便的买到现成PLCC插座,拔插比较方便,故这种封装比较适用于中小规模的开发。确定了系统所需的资源、工作速度以及规模的大小,就可以选择CPLD器件了。下表为Altera器件性能对照表:所以选用FLEX6000系列的元件选用FLEX6000系列管脚I/O数目满足应用所需的用户I/O口数目,并且价格相对低廉,低功耗。FLEX6000系列期间的特性:特性EPF 6016EPF 6016AEPF 6024A典型门8000-160008000-1600012000-24000逻辑单元132013201960最大I/O引脚数目204171218电源电压5.0v33v3.3v所以选择EPF6016A型号,门数,引脚数都够用电源电压为3.3v5.1.2 EPF6016A器件固定引脚配置管脚:MSEL1:0 用于选择配置模式,比如AS、PS等。DATA0 FPGA串行数据输入,连接到配置器件的串行数据输出管脚。DCLK FPGA串行时钟输出,为配置器件提供串行时钟。nCSO(I/O) FPGA片选信号输出,连接到配置器件的nCS管脚。ASDO(I/O) FPGA串行数据输出,连接到配置器件的ASDI管脚。nCEO 下载链期间始能输出。在一条下载链中,当第一个器件配置完成后,此信号将始能下一个器件开始进行配置。下载链上最后一个器件的nCEO悬空。nCE 下载链器件始能输入,连接到上一个器件的nCEO,下载链的最后一个器件nCE接地。nCNFIG 用户模式配置起始信号。nSTATUS 配置状态信号。CONF_DONE 配置结束信号。电源管脚:VCCINT 内核电压(供电电压)。 EPF6016A 供电电压为3.3vVCCIO 端口电压。一般为3.3V,还可以支持多种电压,5V、1.8V、1.5VVREF 参考电压GND 信号地 VCCPD 用于 寻则驱动VCCSEL 用于控制配置管脚和PLL相关的输入缓冲电压其他管脚:PROSEL 上电复位选项CLKUSR 这个脚就只可以作为用户提供的初始化时钟输入脚。INIT_DONE I/O 脚或漏极开路的输出脚。51.3 用户i/o管脚分配管脚定义管脚定义71时钟信号CLK48门内三层请求D365超载 FULL49门内四层请求D466提前关门 QUICK50门内五层请求D567关门中断 DE51门内六层请求D668排除故障 CLR39到达一层信号G11门外一层上请求C_U140到达二层信号G22门外二层上请求C_U241到达三层信号G310门外三层上请求C_U342到达四层信号G411门外四层上请求C_U443到达五层信号G512门外五层上请求C_U544到达六层信号G614门外二层下请求C_D2113显示门外一层上请求LED_C_U115门外三层下请求C_D3115显示门外二层上请求LED_C_U216门外四层下请求C_D4116显示门外三层上请求LED_C_U321门外五层下请求C_D5118显示门外四层上请求LED_C_U422门外六层下请求C_D6119显示门外五层上请求LED_C_U546门内一层请求D1121显示门外二层下请求LED_C_D247门内二层请求D2122显示门外三层下请求LED_C_D358显示楼层液晶显示124显示门外四层下请求LED_C_D459显示楼层液晶显示95显示门外五层下请求LED_C_D560显示楼层液晶显示96显示门外六层下请求LED_C_D661显示楼层液晶显示106显示门内一层请求LED_D_D162显示楼层液晶显示107显示门内二层请求LED_D_D263显示楼层液晶显示108显示门内三层请求LED_D_D364显示楼层液晶显示109显示门内四层请求LED_D_D487门开关信号110显示门内五层请求LED_D_D586门开关信号112显示门内六层请求LED_D_D688电梯运行信号82显示电梯上升UD193电梯运行信号83显示电梯下降UD272超载报警81看门狗报警以上就是电梯FPGA用户端口的分配5.1.4 FPGA JTAG接口及配置芯片接法FPGA器件有三类配置下载方式:主动配置方式(AS)和被动配置方式(PS)和最常用的(JTAG)配置方式。 AS由FPGA器件引导配置操作过程,它控制着外部存储器和初始化过程,EPCS系列.如EPCS1,EPCS4配置器件专供AS模式,使用Altera串行配置器件来完成。配置数据通过DATA0引脚送入 FPGA。配置数据被同步在DCLK输入上,1个时钟周期传送1位数据。PS则由外部计算机或控制器控制配置过程。通过加强型配置器件(EPC16,EPC8,EPC4)等配置器件来完成,在PS配置期间,配置数据从外部储存部件,通过DATA0引脚送入FPGA。配置数据在DCLK上升沿锁存,1个时钟周期传送1位数据。JTAG接口是一个业界标准,主要用于芯片测试等功能,使用IEEE Std 1149.1联合边界扫描接口引脚,支持JAM STAPL标准,可以使用Altera下载电缆或主控器来完成。本设计采用JTAG接口配置,通过ByteBlaster 电缆直接编程到器件中。该系列产品的配置信息是存放在芯片内的SRAM中,当掉电后,配置信息将全部丢失,所以这些配置信息需要存放在其它EPROM中,ALTERA公司提供了与该系列芯片配套使用的EPROM。所以对芯片的编程就是对EPROM的编程,芯片开始工作时,进入命令状态,在该状态将配置信息从EPROM中读到自己的SRAM中,然后进入用户状态,在用户状态器件就可以按照配置的功能进行工作,整个配置过程全部自动进行,也可以靠外部逻辑控制进行,时钟可由器件自己提供,也可由外部时钟控制。所以整个器件只要更换EPROM中的配置信息就可以更换功能,其灵活性是不言而喻的。该器件有如下配置方式:主动串行配置(AS)主动并行升址和降址配置(APU/APD)被动并行同步配置(PPS)被动并行异步配置(PPA)被动串行配置(PS)采用主动串行配置(AS)该配置使用ALTERA公司提供的配置EPROM(如EPC1213)作为器件的配置数据源,配置EPROM以串行位流(bit-stream)方式向器件提供。综上所述EPF6016配置采用JTAG接口配置,通过ByteBlaster 电缆直接编程到器件中FPGA的配置芯片选择的是EPC1。5.2电源设计由于EPF的VCCINT输入电压为3.3v,并且使用光电隔离开关,所以应该设计5v和3.3v直流稳定电压源 ,还有12v直流稳定电压源。5.2.1 5V和12V电源设计 直流稳压电源的工作电路,传统方式采用分立元件构成,目前均采用集成三端稳压器7805,7812构成。集成三端稳压器因其稳压精度高、工作稳定可靠、外围电路简单、容易设计和制作、体积小、重量轻、成本低、维修简单等优点,所以在各种电源电路中得到了普遍的应用。IC采用集成三端稳压器7805,7812C1、C2,C5、C6为输入端端滤波电容,C3、C4,C7、C8为输出端端滤波电容,以上第一个图为例:变压器T0将交流电网220V的电压Vl变为所需要的交流电压V2,然后通过全波整流将交流电压V2变成脉动的直流电压。通过整流后,电源的脉动成分较大。滤波电路的作用就是在降低整流后输出电压中的脉动成分的同时,尽量保持其中的直流成分。一般典型而有效的滤波电路就是使用电容滤波、电感滤波,利用电抗元件在电路中有储能的作用,滤去电源中的脉动成分,从而得到比较平滑的电源波形。若将电容与电感合理的安排在电路中,则可以有效的降低交流成分,保持直流成分。由于此脉动的

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论