基于Verilog的交通灯控制器的设计.doc_第1页
基于Verilog的交通灯控制器的设计.doc_第2页
基于Verilog的交通灯控制器的设计.doc_第3页
基于Verilog的交通灯控制器的设计.doc_第4页
基于Verilog的交通灯控制器的设计.doc_第5页
已阅读5页,还剩17页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

武 汉 科 技 大 学 课题:交通灯控制器的设计 编号:17 指导老师:冯 玉 林 班 级:自动化0703班 姓 名: 筱 启坚持,就是胜利目 录1. 设计内容与要求 22. 交通灯控制系统的组成框图 33. 交通灯控制电路的设计 44. 交通灯控制电路设计的难点与解决方法55. 交通灯控制电路的verilog语句 65. 交通灯控制电路的程序和波形分析 126. epf10k10lc84-4芯片引脚分配图 207. 实验小结 21一、 设计内容与要求 设计一个十字路口交通信号灯的定时控制电路。要求红、绿灯按一定的规律亮和灭,并在亮灯期间进行倒计时,并将运行时间用数码管显示出来。 绿灯亮时,为该车道允许通行信号,红灯亮时,为该车道禁止通行信号。要求主干道每次通行时间为99秒,支干道每次通行时间为30秒。每次变换运行车道前绿灯闪烁,持续时间为5秒。即车道要由主干道转换为支干道时,主干道在通行时间只剩下5秒钟时,绿灯闪烁显示,支干道仍为红灯,以便主干道上已过停车线的车继续通行,未过停车线的车停止通行。同理,当车道由支干道转换为主干道时,支干道绿灯闪烁显示5秒钟,主干道仍为红灯。 对红、绿灯的运行时间要能比较方便的进行重新设置。 添加左转灯的控制,可自行到实际十字路口观看规律并实现。对器件进行在系统编程和实验验证。用verilog语言对设计进行描述,设计一个测试方案,通过muxplus对设计进行仿真验证。并能够下载到实验板上调试成功。二、交通灯控制系统的组成框图根据设计要求,画出交通信号灯控制电路原理框图,如下图所示:支干道信号灯主干道信号灯译码、显示 交通灯闪烁控制状态译码器减法计数器 置数控制状态控制器 秒脉冲发生器 交通信号灯控制原理图主 干道 信号 灯定 时 器 绿 灯 ts tl ts tl temp 左拐灯译码器控 制 器 红 灯时钟支 干道 信号 灯传感器 绿 灯 左拐灯 红 灯 交通信号灯控制系统框图三、 交通灯控制电路的设计交通灯控制器设计的重点是控制电路的设计,根据设计要求分析,控制电路的算法可用下图所示的asm图描述。tempm= =0?tempb= =0?s0 yescountm由0变为1countb由1变为2主绿支红 s3 no主红支黄tempm= =0? nocountb由1变为2yescountm由1变为2 yestempb= =0?s1 s2主红支绿主黄支红 notempm= =0?tempb= =0?countm由2变为0countb由0变为1 no yes yes各状态的详细说明如下:s0状态:主干道绿灯亮,支干道红灯亮,此时若主干道有车等待左拐,而且主干道绿灯已亮足规定的时间间隔ts;在主干道绿灯亮了(ts-5)s后,则开始闪亮,直至绿灯亮了ts;控制器发出状态转换信号tempm=0,输出从状态s0转换到s1。s1状态:主干道左拐灯亮,支干道红灯亮,此时若支干道有车等待通过,而且主干道左拐灯已亮足规定的时间间隔ts;在主干道左拐灯亮了(ts-5)s后,则开始闪亮,直至左拐灯亮了ts;控制器发出状态转换信号tempm=0和tempb=0,输出从状态s1转换到s2。s2状态:支干道绿灯亮,主干道红灯亮,若此时支干道继续有车,则继续保持此状态,但支干道绿灯亮的时间不得超过ts时间间隔;在主干道绿灯亮了(ts-5)s后,则开始闪亮,直至绿灯亮了ts;控制器发出状态转换信号tempb=0,使输出转换到s3状态。s3状态:支干道左拐灯亮,主干道红灯亮,此时若主干道有车等待通过,而且支干道左拐灯已亮足规定的时间间隔ts;在支干道左拐灯亮了(ts-5)s后,则开始闪亮,直至左拐灯亮了ts;控制器发出状态转换信号tempm=0和tempb=0,输出从状态s3回到s0。交通灯状态图 s1s2s3tempm=0&tempb=0tempb=0s0tempm=0tempm=0&tempb=0tempm=1tempm=1tempb=1tempb=1四、 交通灯控制电路设计的难点与解决方法1、 如何将主干道和支干道的直行和左拐的初始时间的设置和减计数分开?用使能信号,当使能信号en=0时,就进行初始时间的设置;当en=1时,就进行减计数。2、 如何分别进行主干道和支干道的直行和左拐的初始时间的设置?将主干道直行和左拐、支干道直行和左拐分别用四个键ms ml bs bl来控制,采这些信号的上升沿,每来一个上升沿就进行一次加计数,可以从0一直加到99。3、 状态的转变很多,并且主干道和支干道的计时是并行的,应该如何使用标志位来安排好并行的状态转变?将主干道和支干道完全分开,用两组控制信号tempm和countm、tempb和countb来实现。将初始时间的赋值和减计数分开来:用temp来控制是赋值(temp=0)还是减计数(temp=1);用count来控制是哪一种状态下的倒计时,如count=0时,主干道是等待灯的倒计时,支干道是左拐灯的倒计时;count=1时,主干道是直行灯的倒计时,支干道是等待灯的倒计时;count=2时,主干道是红灯的倒计时,支干道是直行灯的倒计时。4、 如何进行数码管的分配?共有8个数码管,但是根据题目要求必须用到12个数码管。给主干道和支干道分别分配4个数码管,两个用于时间的设定,两个用于时间的倒计时;而时间的设置里面,用模式控制键msty和bsty控制当下进行的是直行还是左拐的设置,如当sty=1时,显示的是直行的时间;当sty=0时,显示的是左拐的时间。5、 在赋值的过程中对于红灯的时间计算有溢出该怎么避免?溢出的情况分为个位是7和9、8和8、8和9、9和9,因为大于15,故会溢出,得不到正确的结果。我采用的是把这些情况单独列出来进行解决。五、 交通灯控制电路的verilog语句module traffic1(clk,en,lampm,lampb,ms,bs,ml,bl,msty,bsty,mlampm,blampb,numm,numb);/*lampm和lampb分别表示主干道和支干道的亮灯,mlampm和blampb分别表示主干道和支干道的时间显示input clk,en,ms,ml,bs,bl,msty,bsty;/ms,ml,bs,bl是手动设置时间的键,msty和bsty分别是主干道和支干道数码管显示的控制按键*/output7:0 mlampm,blampb,numm,numb;output2:0 lampm,lampb;reg7:0mgreen,mleft,bgreen,bleft;wire7:0mred,bred,mlampm,blampb;reg7:0 numm,numb;reg tempm,tempb;reg2:0 countm,countb;reg2:0 lampm,lampb;/模式转换:当msty=1时,为主干道直行时间的显示;当msty=0时,为主干道左拐时间的显示;当bsty=1时,为枝干道直行时间的显示;当bsty=0时,为枝干道左拐时间的显示。assign mlampm=(msty?mgreen:mleft); assign blampb=(bsty?bgreen:bleft); always (posedge ms)/手动设置主干道直行的时间begin if(!en) begin if(mgreen3:0=9&mgreen7:49) begin mgreen3:0=0; mgreen7:4=mgreen7:4+1; end else if(mgreen3:09&mgreen7:4=9) begin mgreen7:4=mgreen7:4; mgreen3:0=mgreen3:0+1; end else if(mgreen3:0=9&mgreen7:4=9) mgreen=0; endendalways (posedge ml)/手动设置主干道左拐的时间 begin if(!en) begin if(mleft3:0=9&mleft7:49) begin mleft3:0=0; mleft7:4=mleft7:4+1; end else if(mleft3:09&mleft7:4=9) begin mleft7:4=mleft7:4; mleft3:0=mleft3:0+1; end else if(mleft3:0=9&mleft7:4=9) begin mleft=0; end end endalways (posedge bs)/手动设置支干道直行的时间 begin if(!en) begin if(bgreen3:0=9&bgreen7:49) begin bgreen3:0=0; bgreen7:4=bgreen7:4+1; end else if(bgreen3:09&bgreen7:4=9) begin bgreen7:4=bgreen7:4; bgreen3:0=bgreen3:0+1; end else if(bgreen3:0=9&bgreen7:4=9) begin bgreen=0; end end endalways (posedge bl)/手动设置支干道左拐的时间 begin if(!en) begin if(bleft3:0=9&bleft7:49) begin bleft3:0=0; bleft7:4=bleft7:4+1; end else if(bleft3:09&bleft7:4=9) begin bleft7:4=bleft7:4; bleft3:0=bleft3:0+1; end else if(bleft3:0=9&bleft7:4=9) begin bleft=0; end end endalways (posedge clk)/该进程控制主干道的四种灯begin if(en)/使能信号为1时 begin if(!tempm)/对主干道计时总时间进行赋值 begin tempm=1; case(countm)/控制亮灯的顺序 0:begin countm=1; numm=mgreen; end 1:begin countm=2; numm=mleft; end 2:begin countm9 ) begin numm3:0=bgreen3:0+bleft3:0-10; numm7:4=bgreen7:4+bleft7:4+1; end else if(bgreen3:0=7&bleft3:0=9)|(bgreen3:0=9&bleft3:0=7)|(bgreen3:0=8&bleft3:0=8) begin numm3:0=6; numm7:4=bgreen7:4+bleft7:4+1; end else if(bgreen3:0=8&bleft3:0=9)|(bgreen3:0=9&bleft3:0=8) begin numm3:0=7; numm7:4=bgreen7:4+bleft7:4+1; end else if(bgreen3:0=9&bleft3:0=9) begin numm3:0=8; numm7:4=bgreen7:4+bleft7:4+1; end else begin numm3:0=bgreen3:0+bleft3:0; numm7:4=bgreen7:4+bleft7:4; end end default:lampm6) begin if(numm3:0=0) begin numm3:0=9; numm7:4=numm7:4-1; lampm1=1; end else begin numm3:0=numm3:0-1; lampm1=1; end end else if(numm=6|numm=4|numm=2) begin lampm1=1; numm=numm-1; end else if(numm=5|numm=3) begin lampm1=0; numm=numm-1; end else if(numm=1) begin tempm=0;lampm16) begin if(numm3:0=0) begin numm7:4=numm7:4-1; numm3:0=9;lampm2=1;end else begin numm3:0=numm3:0-1;lampm2=1; end end else if(numm=6|numm=4|numm=2) begin lampm2=1; numm=numm-1; end else if(numm=5|numm=3) begin lampm2=0; numm=numm-1; end else if(numm=1) begin lampm2=0; tempm=0; end end 0:begin/主干道红灯倒计时 if(numm3:0=0) begin numm7:4=numm7:4-1; numm3:0=9; lampm0=1; end else if(numm=1) begin lampm0=0; tempm=0; end else begin numm3:0=numm3:0-1; lampm0=1; end end endcase end if(!tempb)/如果tempb=0 begin tempb=1; case(countb)/控制亮灯的顺序 0:begin countb9) begin numb3:0=mgreen3:0+mleft3:0-10; numb7:4=mgreen7:4+mleft7:4+1;end else if(mgreen3:0=7&mleft3:0=9)|(mgreen3:0=9&mleft3:0=7)|(mgreen3:0=8&mleft3:0=8) begin numb3:0=6; numb7:4=mgreen7:4+mleft7:4+1; end else if(mgreen3:0=8&mleft3:0=9)|(mgreen3:0=9&mleft3:0=8) begin numb3:0=7; numb7:4=mgreen7:4+mleft7:4+1; end else if(mgreen3:0=9&mleft3:0=9) begin numb3:0=8; numb7:4=mgreen7:4+mleft7:4+1; end else begin numb3:0=mgreen3:0+mleft3:0; numb7:4=mgreen7:4+mleft7:4; end end 1:begin countb=2; numb=bgreen; end 2:begin countb=0; numb=bleft; end default:lampb=3; endcase end else/如果tempm=1 begin/各个灯的倒计时 case(countb) 1:begin/支干道红灯的倒计时 if(numb3:0=0) begin numb3:0=9; numb7:4=numb7:4-1; lampb0=1; end else if(numb=1) begin lampb0=0; tempb=0; end else begin numb3:0=numb3:0-1; lampb06) begin if(numb3:0=0) begin numb7:4=numb7:4-1; numb3:0=9; lampb1=1; end else begin numb3:0=numb3:0-1;lampb1=1; end end else if(numb=6|numb=4|numb=2) begin numb=numb-1;lampb1=1; end else if(numb=5|numb=3) begin lampb1=0; numb=numb-1; end else if(numb=1) begin lampb1=0; tempb6) begin if(numb3:0=0) begin numb7:4=numb7:4-1; numb3:0=9; lampb2=1; end else begin numb3:0=numb3:0-1;lampb2=1; end end else if(numb=6|numb=4|numb=2) begin lampb2=1; numb=numb-1; end else if(numb=5|numb=3) begin lampb2=0; numb=numb-1; end else if(numb=1) begin lampb2=0; tempb=0; end end endcase end end if(!en)/使能端信号为0时 begin lampm=0; countm=0; tempm=0; lampb=0; countb=0; tempb=0; end endendmodule六、 交通灯控制电路的程序和波形分析1、主干道直行时间的设置程序为:always (posedge ms) begin if(!en) begin if(mgreen3:0=9&mgreen7:49) begin mgreen3:0=0; mgreen7:4=mgreen7:4+1; end else if(mgreen3:09&mgreen7:4=9) begin mgreen7:4=mgreen7:4; mgreen3:0=mgreen3:0+1; end else if(mgreen3:0=9&mgreen7:4=9) mgreen=0; endend其波形为:在使能信号en=0时,若按键ms被按一下,则加一下数,直至使能信号为1或者ms键不再被按为止。2、主干道左拐时间设置的程序为:always (posedge ml) begin if(!en) begin if(mleft3:0=9&mleft7:49) begin mleft3:0=0; mleft7:4=mleft7:4+1; end else if(mleft3:09&mleft7:4=9) begin mleft7:4=mleft7:4; mleft3:0=mleft3:0+1; end else if(mleft3:0=9&mleft7:4=9) begin mleft=0; end end end 其波形为:在使能信号en=0时,若按键ml被按一下,则加一下数,直至使能信号为1或者ml键不再被按为止。 3、支干道直行时间设置的程序为:always (posedge bs) begin if(!en) begin if(bgreen3:0=9&bgreen7:49) begin bgreen3:0=0; bgreen7:4=bgreen7:4+1; end else if(bgreen3:09&bgreen7:4=9) begin bgreen7:4=bgreen7:4; bgreen3:0=bgreen3:0+1; end else if(bgreen3:0=9&bgreen7:4=9) begin bgreen=0; end end end其波形为:在使能信号en=0时,若按键bs被按一下,则加一下数,直至使能信号为1或者bs键不再被按为止。4、支干道左拐时间设置的程序为:always (posedge bl) begin if(!en) begin if(bleft3:0=9&bleft7:49) begin bleft3:0=0; bleft7:4=bleft7:4+1; end else if(bleft3:09&bleft7:4=9) begin bleft7:4=bleft7:4; bleft3:0=bleft3:0+1; end else if(bleft3:0=9&bleft7:4=9) begin bleft6) begin if(numm3:0=0) begin numm3:0=9; numm7:4=numm7:4-1; lampm1=1; end else begin numm3:0=numm3:0-1; lampm1=1; end end else if(numm=6|numm=4|numm=2) begin lampm1=1; numm=numm-1; end else if(numm=5|numm=3) begin lampm1=0; numm=numm-1; end else if(numm=1) begin tempm=0;lampm16) begin if(numm3:0=0) begin numm7:4=numm7:4-1; numm3:0=9;lampm2=1;end else begin numm3:0=numm3:0-1;lampm2=1; end end else if(numm=6|numm=4|numm=2) begin lampm2=1; numm=numm-1; end else if(numm=5|numm=3) begin lampm2=0; numm=numm-1; end else if(numm=1) begin lampm2=0; tempm=0; end end波形为:在使能信号为1时,若tempm=1,且countm=2时,则每当有一个时钟上升沿来临时,numm就做一次减计数,直至numm=5时,主干道的左拐灯就开始闪亮,直至numm=0,则进入下一个状态。7、主干道等待倒计时和亮灯情况程序为:begin/主干道红灯倒计时 if(numm3:0=0) begin numm7:4=numm7:4-1; numm3:0=9; lampm0=1; end else if(numm=1) begin lampm0=0; tempm=0; end else begin numm3:0=numm3:0-1; lampm06) begin if(numb3:0=0) begin numb7:4=numb7:4-1; numb3:0=9; lampb1=1; end else begin numb3:0=numb3:0-1;lampb1=1; end end else if(numb=6|numb=4|numb=2) begin numb=numb-1;lampb1=1; end else if(numb=5|numb=3) begin lampb1=0; numb=numb-1; end else if(numb=1) begin lampb1=0; tempb6) begin if(numb3:0=0) begin numb7:4=numb7:4-1; numb3:0=9; lampb2=1; end else begin numb3:0=numb3:0-1;lampb2=1; end end else if(numb=6|numb=4|numb=2) begin lampb2=1; numb=numb-1; end else if(numb=5|numb=3) begin lampb2=0; numb=numb-1; end else if(numb=1) begin lampb2=0; tempb=0; end end波形为:在使能信号为1时,若tempb=1,且countb=2时,则每当有一个时钟上升沿来临时,numb就做一次减计数,直至numb=5时,支干道的左拐灯就开始闪亮,直至numb=0,则进入下一个状态。10、支干道等待的倒计时和亮灯情况程序为:begin/次干道红灯的倒计时 if(numb3:0=0) begin numb3:0=9; numb7:4=numb7:4-1; lampb0=1; end else if(numb=1) begin lampb0=0; tempb=0; end else begin numb3:0=numb3:0-1; lampb0=1; end end波形为:在使能信号为1时,若tempb=1,且countb=0时,则每当有一个时钟上升沿来临时,numb就做一次减计数,直至numb=0时,支干道的红灯熄灭,则回到初始状态。七、 epf10k10lc84-4芯片引脚分配图八、 实验小结在大二的下学期学完模电和数电这两门理论课程以后,我们就开始了各种实际动手操作的模电和数

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论