svpwm 在bldc电机中的应用(伺服电机)_第1页
svpwm 在bldc电机中的应用(伺服电机)_第2页
svpwm 在bldc电机中的应用(伺服电机)_第3页
svpwm 在bldc电机中的应用(伺服电机)_第4页
svpwm 在bldc电机中的应用(伺服电机)_第5页
已阅读5页,还剩27页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

自动化学院本科毕业设计(论文)题目SVPWM在BLDC电机中的应用专业自动化(数控技术)班级XXXXX学号XXXXXX学生姓名XXXX指导教师XXX讲师起迄日期2012220126设计地点实验楼_GRADUATIONDESIGNTHESISSVPWMINTHEAPPLICATIONOFBLDCMOTORBYZHUXIANGSUPERVISEDBYTENGFULINSCHOOLOFAUTOMATIONNANJINGINSTITUTEOFTECHNOLOGYJUNE,2012摘要随着工业自动化的发展,人们对电机控制系统的性能要求越来越高。矢量控制、直接转矩控制等先进的控制理论不断提出,而微处理器和控制器的更新换代特别是数字信号处理(DSP)的出现,使得理论成为实践。智能化功率模块和空间矢量脉宽调制(SVPWM)技术的出现,极大的改善了电机的控制性能。本论文重点讲述了以功能强大的DSP、智能化的功率模块和先进的SVPWM技术实现永磁无刷直流电机的开环调速。介绍了基于DSP的硬件控制平台的组成部分。重点分析了SVPWM技术原理、产生PWM波的控制算法和程序的实现,最后在DSP控制平台上对其控制性能进行了验证。本论文所有的硬件电路设计和程序编写基于TMS320F2806建立的数字控制系统。硬件电路中的电源电路,单片DSP最小系统电路等主要部分都是经过实际的焊制和调试。软件设计中的SVPWM程序主要采用C语言套用格式,使用CCS(C2000)编译环境下在DSP控制平台上进行了实际调试和验证。关键词数字信号处理器;空间矢量PWM;逆变器ABSTRACTALONGWITHTHEDEVELOPMENTOFINDUSTRIALAUTOMATION,PEOPLEONTHEMOTORCONTROLSYSTEMPERFORMANCEDEMANDMOREANDMOREVECTORCONTROL,DIRECTTORQUECONTROLANDOTHERADVANCEDCONTROLTHEORIESHAVEBEENPUTFORWARD,ANDTHEMICROPROCESSORCONTROLLERANDTHEUPDATEESPECIALLYDIGITALSIGNALPROCESSORDSPAPPEAR,MAKESTHEORYINTOPRACTICEINTELLIGENTPOWERMODULEANDSPACEVECTORPULSEWIDTHMODULATIONSVPWMTECHNOLOGYAPPEAR,GREATLYIMPROVEDTHEMOTORCONTROLPERFORMANCETHISPAPERFOCUSESONTHEFUNCTIONOFTHEPOWERFULDSP,INTELLIGENTPOWERMODULEANDADVANCEDSVPWMTECHNOLOGYTOACHIEVEPERMANENTBRUSHLESSDCMOTOROFTHEOPENLOOPCONTROLINTRODUCESTHEHARDWAREPLATFORMBASEDONDSPCONTROLOFTHECOMPONENTANALYSESTHESVPWMTECHNOLOGYPRINCIPLE,PRODUCEPWMWAVESOFTHECONTROLALGORITHMANDTHEREALIZATIONOFTHEPROGRAM,ANDTHELASTINTHEDSPCONTROLPLATFORMONTHECONTROLPERFORMANCEISVALIDATEDTHISPAPERALLTHEHARDWARECIRCUITDESIGNANDPROGRAMMINGTMS320F2806BASEDONADIGITALCONTROLSYSTEMHARDWARECIRCUITOFTHEPOWERSUPPLYCIRCUIT,MONOLITHICDSPMINIMUMSYSTEMSUCHASTHEMAINPARTOFTHECIRCUITISAFTERTHEACTUALSOLDERINGANDDEBUGGINGTHESOFTWAREDESIGNOFSVPWMPROCEDUREMAINLYUSINGCLANGUAGETOFORMAT,USINGCCSC2000COMPILEDENVIRONMENTINDSPCONTROLPLATFORMONTHEACTUALCOMMISSIONINGANDVALIDATIONKEYWORDSDSP;SPACEVECTORPWM;INVERTER目录第一章绪论111引言112选题背景113课题研究意义214研究内容215本文的结构2第二章SVPWM的生成原理42124V直流无刷电机调速控制422几种PWM输出方法的比较423SVPWM生成原理4第三章SVPWM算法的实现731扇区的判断732相邻两矢量的开关作用时间733切换顺序934SVPWM的调速1035SVPWM波的死区控制10第四章支持SVPWM发生器的硬件电路1141DSP微处理器1142DSP基本外围电路的设计1243功率驱动电路1444SVPWM产生的硬件基础16第五章SVPWM的软件设计1851定点DSP的Q格式1852SVPWM控制参数的Q格式及代码实现1953SVPWM程序流程图2054实验结果分析21第六章结论23致谢24参考文献25附录A硬件设计原理图26第一章绪论11引言SVPWMSPACEVECTORPULSEWIDTHMODULATION,即电压空间矢量脉宽调制,SVPWM是近年发展的一种比较新颖的控制方法,是由三相功率逆变器的六个功率开关元件组成的特定开关模式产生的脉宽调制波,能够使输出电流波形尽可能接近于理想的正弦波形。随着电压型逆变器在高性能电力电子器件的广泛应用,脉宽调制PWM控制技术作为这些系统的核心技术,引起了高度重视。到目前为止,从电源角度出发的SPWM技术,在各种应用场合占主导地位。从电机角度出发的空间矢量调制SVPWM技术由于控制简单、数字化实现方便等特点,目前已有替代传统SPWM的趋势。空间电压矢量PWM与传统的正弦PWM不同,它是从三相输出电压的整体效果出发,目标在于使电机获得理想圆形磁链轨迹。SVPWM技术与SPWM相比较,绕组电流波形的谐波成分小,使得电机转矩脉动降低,旋转磁场更逼近圆形,而且使直流母线电压的利用率有了很大提高,在线性调制区域,它的电压利用率SPWM高达1547。在非线性调制区域,通过采取过调制策略,能够保证其线性调制并能进一步提高其电压利用率2。12选题背景交流调速技术最常用的方法是变频调速,通常是通过改变电源频率来实现交流电动机的速度控制。早期的变频系统都是采用开环恒压频比U/F恒定的控制方式,其优点是控制结构简单,成本较低;但是,其控制系统性能不高,利用率低,转矩响应慢。因此这种控制方式仅适应控制性能要求不高的场合。上世纪70年代,德国的FBLASCHKE提出了矢量控制理论,开创了交流电动机等效直流电动机控制的先河,使交流电动机调速后的机械特性以及动态性能都达到了与直流电动机调压调速性能不相上下的程度3。1985年,德困鲁尔大学的DEPENBROCH教授首次提出了直接转矩控制理论DTC,它是采用空间矢量的分析方法,把转矩直接作为被控量水控制,从而获得转矩的高动态性能。矢量控制和直接转矩控制虽然都能达到极高的控制性能,但是矢量控制需要的参数较多,算法代码庞大,直接转矩控制低速性能不佳,设备要求精良等原因,使得它们在工业中特别是像中国这样的发展中国家工业中还没有得到很好的应用。随着带有实时信号处理器的电机控制器件如DSP和和集成度很高的智能功率模块IPM的出现,以及SVPWM控制技术的不断完善和创新,矢量控制将在不久的将来占据工业生产的主导地位。13课题研究意义国内交流伺服系统在数控机床、机器人等行业已经开始了大量应用。市场上具有自主知识产权的民族品牌也如雨后春笋般发展起来。但是这些新兴的伺服驱动器生产商都面临同一个问题交流伺服电机的高成本如何面对步进电机价格优势的冲击。同时,在实际应用中,如果想使用交流伺服系统来代替步进驱动,那么步进驱动中一些有用的成分是否仍然可以保存下来,例如低压开关电源。从这个出发点考虑,本课题先预期设计低压交流伺服系统,以兼容步进驱动电源。但是,项目一开始就遇到一个尖锐的问题由于不具备伺服电机的自主产权,需要购置伺服电机,而国内市场根本采购不到小功率的低压伺服电机。所以,从成本和课题难度考虑,本文后期研究采用了BLDC电机代替低压交流伺服电机,而小功率低压BLDC电机是很成熟的产品。传统BLDC电机采用6扇区磁链控制,低速性能有待提高,所以本课题中仍然采用SVPWM来实现BLDC控制。14研究内容针对上述背景与条件,本课题是以开环的恒压频比控制系统为例,讲述如何用功能强大的信号处理器DSP、智能化的IPM模块和新兴的SVPWM技术去实现变频调速系统。并从实际应用角度考虑,在安全性、实用性、降低成本方而进行了相关的研究。本课题所要研究的主要内容包括1基于DSP和IPM的交流调速控制平台的设计。系统以TMS320F2806为中央处理器设计控制电路,主要包括DSP基本外围电路设计,智能功率模块PM50RSAL20组成的交直交电压源型逆变器设计驱动电路。并对实际应用时的安全可靠性电路进行了设计与实现。2SVPWM控制技术设计与实现。详细介绍了SVPWM波产生的控制原理,控制算法,控制算法以及软件流程的实现。15本文的结构本文以SVPWM在BLDC电机中的应用的研发工程项目作为应用背景,对SVPWM技术进行了研究。全文共分为七章,各章的主要内容如下第一章扼要地介绍了SVPWM技术、交流调速和伺服系统的概念、特点与相关研究背景以及研究内容;第二章具体研究了SVPWM的生成原理;第三章对SVPWM算法的实现进行了研究,给出了生成SVPWM波的具体方案;第四章研究了支持实现SVPWM波的硬件电路,包括对DSP基本外围电路的设计和功率驱动电路的设计;第五章对SVPWM的软件设计给出了具体的说明,并且给出了相应的流程图;第六章总结了全文的研究工作,并且给出了存在的问题和进一步研究的方向。第二章SVPWM生成原理2124V直流无刷电机调速控制原理三相永磁无刷直流电动机和一般的永磁有刷直流电动机相比,在结构上有很多相近或相似之处,用装有永磁体的转子取代有刷直流电动机的定子磁极,用具有三相绕组的定子取代电枢,用逆变器和转子位置检测器组成的电子换向器取代有刷直流电动机的机械换向器和电刷,就得到了三相永磁无刷直流电动机。直流无刷电机调速的原理就在驱动电动机的基础上将驱动电流由PWM信号来控制,改变PWM信号的脉冲宽度,即通过调节MOSFET通断时间来调节提供给电机三项电流大小,从而对电机进行调速控制。22几种PWM输出方法的比较PWM(PULSEWIDTHMODDULATION),脉宽调制,晶闸管工作在开关状态,晶闸管被触发导通时,电源电压加到电动机上;晶闸管关断时,直流电源与电动机断开。这样通过改变晶闸管的导通时间(即调占空比TON)就可以调节电机电压,从而进行调速。对比于SVPWM的产生原理可知,SVPWM本身的产生原理与PWM没有任何关系,只是形似。SPWM,正弦波脉宽调制,将正弦半波N等分,把每一等分的正弦曲线与横轴所包围的面积用一个与此面积相等的等高矩形脉冲来替代。三角波载波信号UT与一组三相对称的正弦参考电压信号URA、URB、URC比较后,产生的SPWM脉冲序列波UDA、UDB、UDC作为逆变器功率开关器件的驱动控制信号。逆变器输出电压的基波正是调制时所要求的正弦波,调节正弦波参考信号的幅值和频率就可以调节SPWM逆变器输出电压的幅值和频率。SVPWM与SPWM的原理和来源有很大不同。SPWM由三角波与正弦波调制而成,SVPWM却可以看作由三角波与有一定三次谐波含量的正弦基波调制而成。而SVPWM的电压母线利用率要比SPWM高1547,因此采用SVPWM对直流无刷电机进行控制。23SVPWM产生原理空间矢量脉宽调制SVPWM的英文全称为SPACEVECTORPULSEWIDTHMODULATION,实际上对应于永磁同步感应电机中的三相电压源逆变电器的功率器件的一种特殊的开关出发顺序和脉宽大小的组合,这种开关出发顺序和组合将在定子线圈中产生三相互差120电角度的波形失真较小的正弦波电流。本设计着重于SVPWM在直流无刷电机中的应用。图21三相电源逆变器结构图21是电压源型PWM逆变器示意图,它的三个桥臂的六个功率开关器件一共有八种开关模式。用SA、SB、SC分别表示三个桥臂的状态,规定当上桥臂器件导通时桥臂状态为1,下桥臂器件的桥臂状态为0。那么,逆变器的八种开关模式对应八个电压空间矢量9。对应于不同状态时输出给电机的相、线电压对应值(相对应于直流电压UDC)如表21所示。表21功率晶体管的开关状态和与之对应的输出线电压和相电压的关系表ABCVAVDCVBVDCVCVDCVABVDCVBCVDCVCAVDC0000000001002/31/31/31011101/31/32/30110101/32/31/31100112/31/31/31010011/31/32/30111011/32/31/3110111000000表中VA、VB、VC表示3个输出的相电压,VAB、VBC、VCA表示3个输出的线电压。在实际的应用当中需要将定子三相坐标系(A、B、C)转换为定子两相坐标系(、)。在(、)坐标系中与输出的三相相电压相对应的分量可以由下面的等式表示VSVA(21)VS(2VBVA)/3(22)用矩阵表示为(2VS322301VCBA2)在8个空间矢量中000,111这两种开关状态在电机驱动中都不会产生有效电流,因此称其为0矢量另外6中开关状态分别是六个有效矢量。这六个有效矢量将360的电压空间分为60度的一个扇区,一共六个扇区10。利用这六个有效矢量和两个零矢量,可以合成360内的任何矢量。图22为逆变器的八个电压矢量的空间分布U100U110U101U001U011U010U000U111123456图22空间电压矢量功率晶体管的开关状态的组合一共只有8种,从而形成8种基本电压空间矢量构成了如图22所示的六边形并将六变边形分成了六个扇区,这样就形成了六边形磁链。但是在实际的电机应用中六边形磁链输出电压谐波含量比较高,容易导致电机在低速时的转矩脉动很大。因此,为了降低输出电压谐波含量,一般都采用使电动机磁链轨迹形状更接近于圆形的多边形磁链轨迹。SVPWM的基本原理就是用若干个开关电压矢量去近似等效给定的参考电压矢量,用六个基本的电压矢量去合成更多的有效矢量从而形成N边形磁链等效接近于圆形磁链12。一个周期内合成的有效矢量越多,说明采样频率越高,实际生成的波形也就越接近于正弦波。第三章SVPWM算法的实现要实现SVPWM波就必须做以下几件事判断UOUT的所在扇区;计算相邻两开关电压矢量的时间;确定开关顺序13。31扇区的判断给定一个UOUT,如果要计算它的导通时间和它的开关顺序,就先得计算出UOUT所在的扇区。其中UOUT可以分解到定子两相坐标系(、)中用UALFA和UBETA来表示,那么可以设三个参考量VREF1、VREF2和VREF3用UALFA和UBETA来表示,其关系式如下(31)23U3VREFRFVREF1ALFBETALFBETBETA再定义3个变量,A、B、C。如果VREF10,则A1,否则A0;如果VREF20,则B1,否则B0;如果VREF30,则C1,否则C0。设N4C2BA,则N与扇区数SECTOR的对应关系如表31所示。表31N与扇区数SECTOR的对应关系表N123456SECTOR150324第0扇区为基本空间矢量U0、U60包围的扇区,第1扇区为基本空间矢量U60、U120包围的扇区,第5扇区为基本空间矢量U300、U360包围的扇区。32相邻两矢量的开关作用时间在(、)坐标系中VS、VS是基本空间矢量分解得到的子轴分量,每个基本空间矢量与合适的功率晶体管开关信号组合(C,B,A)是一一相对应的。例如(C,B,A)001时,表示此时的空间矢量为U0。空间矢量PWM技术的目的是通过基本空间矢量对应的开关状态的组合从而得到一个给定的定子参考电压矢量UOUT。参考电压矢量UOUT分别用UALFA和UBETA表示5。图31表示参考电压矢量、与之对应的(、)轴分量UALFA和UBETA和基本空间矢量U0和U60的对应关系。在图31所示的情况中,参考电压矢量UOUT位于被基本空间矢量U0,U60所包围的扇区中,因此UOUT可以用U0和U60两个基本空间矢量来表示。于是有如下等式成立(360201OUTTU2)在式32中T1和T2分别是在周期时间T中基本空间矢量U0、U60各自的作用时间,T0是0矢量的作用时间。分解UOUT到轴则有(360COSUTSIN201ALF6BET3)U60011UOUTT1U0/TUALFA0U0(001)T2U60/TUBETA图31UOUT和UALFA、UBETA以及U0、U60的对应关系图所有的基本空间矢量的幅值都为2VDC/3,如果它们取相对于最大的相电压VDC/最大的线电压为VDC,则最大的相电压为VDC/的标幺值,则空间矢量33的幅值变成2/,即经过归一化后的空间矢量的幅值为带入式(332U603)则有T1(3U32BETAALF4)T2TUBETA(35)在以上的两式当中UALFA和UBETA表示矢量UOUT相对于最大的相电压VDC/归一3化后(即取标幺值)后的(、)轴分量,T0TT1T2是零矢量的作用时间。取T1、T2与周期T的相对值有一下等式T1(3U32BETAALF6)T2(3BETAT7)同理,如果UOUT位于被基本空间矢量U60、U120所包围的扇区中,矢量作用时间的相对值可以表示为3U1206T1(32TBETAALF8)T2(33BETAALF39)在等式中T3是空间矢量U120在周期T中的作用时间。如果定义X、Y和Z三个变量并分别给其赋值如下式XUBETA(310)Y(3321BETAALF11)Z(3UBETAALF12)当矢量UOUT位于被基本空间矢量U0、U60所包围的扇区(即扇区0),则有T1Z,T2X;当矢量UOUT位于被基本空间矢量U60,U120所包围的扇区(即扇区1),则T1Z,T2Y。同样的,当UOUT位于被其他的空间矢量所包含的扇区中时,相应的T1和T2也可以用X、Y或Z表示,对应的关系如表32所示。表32T1、T2与X、Y和Z的对应关系表扇区U0、U60U60、U120U120、U180U180、U240U240、U300U300、U360T1ZZXXYYT2XYYZZX33切换顺序利用TI公司的TMS320F2806DSP芯片产生SVPWM波比较容易,因为每个EV模块中都有对称空间矢量PWM波产生的内置硬件电路。只要基本空间矢量的开关时间确定,零矢量会根据每个周期始终有一个桥臂关断或导通的原则自动添加到PWM周期中。所以其开关顺序(五段式)如图32和图33所示(在060范围内)。PWM1PWM2PWM3T2/2T1/2T0T1/2T2/2U0100U60110U111U60110U0100图32一个桥臂始终导通的开关切换顺序PWM1PWM2PWM3T1/2T2/2T0T2/2T1/2U60110U0100U000U0100U60110图33一个桥臂始终关断的开关切换顺序34SVPWM的调速在图21中,DSP将6路PWM信号送给逆变器三个桥臂的六个功率开关器件,由此产生八种不同的开关状态输出给直流无刷电机。本小节以第一扇区为例即UOUT被U0、U60两个基本空间矢量所包围,功率晶体管导通的顺序如图32所示。SVPWM的调速时通过加入PWM1、PWM2和PWM3(分别对应是A、B和C三种状态)三路信号,一个PWM周期T内T1表示的是U0的作用时间,T2表示的是U60的作用时间,T0表示零矢量的作用时间。T1T2T0是一个固定的值(SVPWM的调制周期),而在60的范围内UOUT的方向是不断改变的,要想得到圆形磁链UOUT的作用长度是保持不变的,因此T1、T2、T0的作用时间也是不断变化的,所以0矢量T0的作用时间是不断变化的可长可短从而达到了调速的目的。35PWM波的死区控制如图21所示,在功率晶体管A导通时,当A切换开关状态由导通转为关断,A由关断变为导通时,若在A管关断的瞬间功率晶体管A导通,即A管和A两根功率晶体管同时导通,从而发生电源短路的现象,导致电源烧毁,为了避免这种现象的发生,我们在A管关断的时候,提前将其关断,并且同时在A管导通时将其延迟导通,从而避免两根管子同时导通烧毁电源的现象发生。同理,另外桥臂的4个功率晶体管也相应的采取该方法阻止这样的现象发生。本设计采用的TMS320F2806DSP芯片具有可编程的PWM死区控制以防止上下桥臂同时输出触发脉冲从而很方便的直接通过软件实际对PWM波进行死区控制6。第四章支持SVPWM发生器的硬件电路41DSP微处理器DSP(DIGITALSIGNALPROCESSOR)是一种独特的微处理器,是以数字信号来处理大量信息的器件。其工作原理是接收模拟信号,转换为0或1的数字信号。再对数字信号进行处理,并在其他系统芯片中把数字数据解译回模拟数据。它不仅具有可编程性,而且其实时运行速度可达每秒数以千万条复杂指令,远远超过通用微处理器,是数字化电子世界中日益重要的电脑芯片。强大数据处理能力和高运行速度是DSP的两大特色15。TMS320F2806是TI公司专门为电机控制的DSP芯片,它除了具有TMS320系列DSP的基本功能外还具有一下特点(1)采用高性能静态CMOS技术,使得供电电压降为33V,减小了控制器的功耗;25NS(40MIPS处理能力)的时钟周期极大提高了控制器的实时控制能力;(2)片内有高达32K字的FLASH程序存储器;高达25K字的16位的数据/程序RAM;544字双端口RAM(DARAM);2K字的单口RAM(SARAM)。(3)两个事件管理器EVA和EVB,每个模块都包含以下资源两个16位用定时器;8个16位的脉宽调制(PWM)通道,可以实现三相反相器控制;PWM的中心或边缘校正及当外部引脚出现低电平时快速关闭PWM通道;可编程的PWM死区控制以防止上下桥臂同时输出触发脉冲;3个捕获单元;片内光电编码器接口电路。(4)可扩展的外部存储器总共有192K字空间,分别为64K的程序存储空间、64K字的数据存储空间和64K字的I/O空间。(5)片内集成的看门狗定时器可以防止由于意外情况而造成程序运行的死循环5个外部中断(2个驱动保护、1个复位和两个可屏蔽中断)。(6)串行通信接口(SCI)模块;16位串行外部设备接口(SPI)模块;时钟电路模块;高达40个可单独编程或复用的通用输入/输出引脚;电源管理,具有3种低功耗模式,能独立地将外围器件转为转入低功耗工作模式。由以上特点可以看出TMS320F2806事件管理器模块集成了电机控制常用的外围电路,便利的SCI、充足的I/O通道,40MIPS的执行速度,非常适用于电机控制8。42DSP基本外围电路设计外围电路是用来保证DSP正常运行所必须的电路单元,它主要包括电源电路,时钟和锁相环滤波电路,复位电路等14。以下对它们逐一进行介绍。421电源电路电源电路的选择是硬件系统设计的一个重要部分,设计的好坏对系统影响很大。对于DSP芯片而言,一般有以下4种电压需要电源电路提供(1)DSP内核电压。现代DSP为了省电,通常把内核电压和外围电路的供电分开,内核工作于低电压以减少功耗。(2)DSP外设电压。主要给DSP的片内外设供电。(3)FLASH编程电压。(4)模拟电路电压。为了减少电源噪声和互相干扰,数字电路和模拟电路一般要独立供电。对于芯片的选择通常要考虑以下几个方面的问题(1)输入和输出电压。也就是外部提供给系统的电压时多少系统需要多大的电压(2)输出电流,也就是输出功率。这需要考虑每个元器件的能够承受的最大电流,看所选的芯片能否提供这么大的功率。(3)成本。成本是硬件开发必须考虑的。综合以上条件考虑,本设计选用了TPS7333。输入电压5V,输出电压33V,输出最大电流500MA,再加上其十分低的压降(输出电流为100MA时,约为45MV)和较低的静态电流,以及很低廉的价格,完全能满足系统的要求。422时钟电路首先要明确的如何选用系统时钟电路这可以从系统所需时钟信号的电气指标来说明。系统时钟的选择主要考虑因素有1频率。系统需要多大的频率,即系统工作于什么频率下。2信号电平。是5V还是33V,是TTL电平还是CMOS电平等。3驱动能力。整个系统有多少芯片需要提供时钟。TMS320F2806具有嵌入到内部的锁相环PLL电路,可以从一个较低的外部时钟通过锁相环倍频电路从而实现内部倍频。本系统采用了一个20MHZ的晶体振荡器F2806外部时钟范围通常为4MHZ20MHZ,完全能满足系统所需的要求。423复位电路所有微控制器的启动流程都不通用,由于硬件的复杂性,必须运行一段出厂家定义的短小的“复位程序”来使硬件处于一种正确的状态,然后再开始执行用户程序。运行这个复位程序需要时间并且要求微控制器的振荡器已经运行。TMS320F2806的复位信号低电平有效,有效时间间隔应满足200MS,复位电路如图41所示。TMS320F2806的输入电压大约为2V,低于这个输入电压被认为是低电平,高于这个域值的电压被认为是高电平,这样复位操作将延续到复位引脚上的电压升到大约2V。复位时间计算公式是TRCLN(4VCAP11)其中,VCAP的阈值电压2V,VCC是33V,经上式计算可得复位时间T235MS,完全满足设计要求。二极管的作用是是为消除按键抖动。33V1044K7RST图41复位电路43功率驱动电路功率驱动回路是进行能量转换、驱动伺服电机工作的强电电路,无刷直流电动机调速系统的功率变换器即主回路由开关电路组成,系统运行时,功率变换器接受控制电路的指令,将系统的工作电源直流电源或交流整流电源转换为无刷直流电动机的驱动电源。功率变换器的设计包括电路结构选择和元件定额估算两方面的内容。成功设计的功率变换器应能与电机绕组结构相匹配,并且有结构简单、成本低、运行可靠、损耗小、效率高和寿命长等优点11。无刷直流电动机调速系统的功率变换器一般可以分为桥式和非桥式两大类,它与电机不同的电枢绕组结构可组合成不同的类型,如图42所示。归纳起来可分为以下几种1多相三相及三相以上星形桥式功率变换器;2多相封闭形桥式功率变换器;3多相星形非桥式功率变换器;4特殊形式功率变换器。非桥式功率变换器较桥式功率变换器结构简单,成本低廉,可靠性高。但从无刷直流电动机的运行性能和电动机的电枢绕组利用率着眼,非桥式功率电路不能满足无刷直流电机正反转的要求,基于此,目前无刷直流电动机的调速系统大多数都采用桥式逆变结构的功率变换器1。本设计所用的三相永磁无刷直流电动机的功率电路主回路是由6只功率开关MOSFET组成的三相H型桥式逆变电路。三相直流无刷电动机的功率电路也是电子换相电路,由转子位置传感器输出信号在每360电角度内给出6个代码。VT41010VT1VT61010VT3VT21010VT5ABCXYZ图42功率电路结构图由于功率电路直接控制电机的换相,因此功率开关的选择与电机的参数与性能紧密相关。电机参数为额定电压24V,额定功率50W,额定转速3000RPM,三相三对极。并且伺服设计中都要考虑过载,本课题所设计的为3倍过载7。从无刷直流电机的工作原理分析得出其对开关元件的性能要求有1满足系统电压、电流值的要求,并有一定裕量;2尽可能低的导通压降和关断以后的漏电流,降低系统损耗;3足够的安全工作区;4尽可能高的开关速度和尽可能低的开关损耗;5尽可能小的驱动功率;6尽可能简单的驱动电路,使开关元件及驱动电路的成本尽可能低。功率MOSFET由于是单极型器件,电流处理能力相对较弱,但由于其在开关过程中,没有载流子存储的建立与抽取,其频率特性好,常用于高频低压领域。而IGBT为INSULATEDGATEBIPOLARTRANSISTOR的优点在于输入阻抗高、开关损耗小、饱和压降低、通断速度快、热稳定性能好,耐高压且承受大电流、驱动电路简单。MOSFET与IGBT均能满足设计要求,本设计选用MOSFET。44SVPWM产生的硬件基础每个TMS320LF2806的事件管理器EV模块都具有操作十分简化的对称空间矢量PWM波形产生的内置硬件电路,本节就是利用这个硬件特点讲述产生SVPWM波的寄存器设置过程9。利用TMS320LF2806产生SVPWM波具体执行过程如下1设置ACTRX,用来定义比较输出方式。2设置COMCONX寄存器,来使能比较操作和SVPWM模式,并且把CMPRX的重装入条件设置为下溢。3将通用定时器设置成连续增/减记数模式,并启动定时器。4按给定的UOUT判断扇区,求出T0、T1、T2软件实现。5将相应扇区的开启方式写入到ACTRX的1412位中,并将1写入ACTRX的15中,或将UX60相应扇区的开启方式写入到ACTRX的1412位中,并将0写入ACTRX的15中。6将T1/2的值写入到CMPRL或CMPR4寄存器中,将TLT2/2的值写入到CMPR2或CMPR5中。在电机控制的应用中,PWM电路极大地减少产生PWM波形的CPU开销和用户的工作量。与比较单元相关的PWM电路其PWM波形的产生由以下寄存器控制对于EVA模块,TICON、COMCONA、ACTRA和DBTCCONA;对于EVB模块,T3CON、COMCONB、ACTRB和DBTCONB。第五章SVPWM软件设计本节讲述SVPWM波的软件设计,重点分析如何应用16位定点DSP进行参数的定标处理与代码实现,并给出SVPWM波形实现的软件流程图。51定点DSP的Q格式对于DSP本身而言,它没有能力处理各种小数,这就要由程序员来确定小数点处于16位中的哪一位,这就是数的定标。数的定标通常采用Q表示法,即QX格式4。其中X表示小数的位数,15X表示小数的位数,还有一位是符号位。当小数点位于第0位右侧时,为Q0;当小数点位于第15位右侧时,为Q15。表51列出了16位有符号数的定标Q表示及其数值范围。表51Q格式及16位有符号数的数值范围Q表示十进制数值范围Q表示十进制数值范围Q151X09999695Q7256X2559921875Q142X19999390Q6512X5119804375Q134X39998779Q51024X102396875Q128X79997559Q42048X20479375Q1116X159995117Q34096X4095875Q1032X319990234Q28129X819175Q964X639980469Q116384X163835Q8128X1279960938Q032768X32767从表51可以看出,同样一个16位数,如果小数点设定的位置不同,它所表示的数也不同。例如十六进制数2000H8192,用Q0表示;十六进制数2000H025,用Q15表示;但对于DSP来说,处理方法是一样的。以下简要介绍Q格式在乘除法方面的优势。(1)化整数为小数由表51可以看出INT型变量的Q15格式数值范围是一个不超过L的小数,小数与小数乘积仍是小数,永远不可能溢出。考虑溢出是事件让程序员很头疼的事情,因此我们将整数也像小数一样处理。例设定在变频凋速系统中FMAX50HZ,那么当F20HZ时,我们将采用如下方法DEFINEMAXF50INTF1;F1(LONG)2014UBETA1ONGKPSIN142判断扇区的运行参数在判断扇区时需要3个参考量VREFL、VREF2、VREF3,计算公式如下(52/73051UVREF21ALFBETETA2)根据三个参考量的正负号,运用相关公式便可以确定为第几扇区,下面是分析如何用定标格式实现上述公式。在式(51)中,出现了除法,将采用如下方法,先把式(52)改写为式(53),再给出05和0866026的Q14格式。(58602U503VREF21ALFBETAETA3)代码如下INTVREF1,VREF2,VREF3INTM8192,N14189/05和0866026的Q14格式VREF1UBETAVREF2LONGUBETAMLONGUALFAN14VREF2LONGUBETAMLONGUALFAN14(3)时间计算中的运行参数为了得到送入DSP比较寄存器CMPR中的时间数值,需要以下几个过程参考量X、Y、Z、CMPL、CMP2。其中,X、Y、Z的计算公式如下(52/U732051BETAALFBETA4)CMP1,CMP2的计算公式要根据扇区确定,例如第一扇区,则有(5TPZINT2CMPY15)其中TP为周期寄存器的值,其值等于SVPWM调制周期的一半。程序中TP1200,由于送入CMPR的数值必须为整数,所以式(55)中用INT来进行强制转换。代码如下DEFINETP1200INTCMP1,CMP2CMP1YTP14/Q0格式CMP2ZTP14/Q0格式CMPR1CMP1CMPR2CMP253SVPWM程序流程图系统控制主程序流程图如下所示主程序入口调用中断屏蔽子程序调用初始化子程序调用CALU计算UALFA、UBETA调用SECTOR计算扇区子程序给定第I次的ACTRA的基本矢量计算此时的作用时间T1、T2将T1/2,T2/2写入CMPR1,CMPR2判断是否启动T3产生SVPWM16是II1循环返回否图51系统控制主程序流程图54实验结果分析图52为DSP控制平台,该平台由一块DSP芯片、六路MOSFET、外置E2PROM、7805稳压芯片、DCDC开关电源芯片、33V稳压芯片、788J电流采样芯片等组成。其中DSP产生的六路PWM信号经放大后送给MOSFET;33V稳压芯片用于给DSP供电;7805稳压芯片用于5V电源隔离,788J用于电流信号采样。图53是实验中抓取的A相绕组的PWM波形。图52DSP控制平台图53带死区的PWM波形实验结论根据Q轴电压的给定信号的变化,实际测量SVPWM输出信号的变化,观测结果符合理论分析的结论,实验结果是正确的。第六章结论优化的空间矢量PWM技术、先进的智能功率模块IPM,加上具有高性能运行速度的DSP,进一步扩大了成熟的变频调速技术在工业控制中的应用。但是在这个科技理论及电子器件日新月异的今天如何应用它们去实现变频技术,如何使它们在实际

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论