毕业论文-基于c++数字抽取滤波器的设计与实现_第1页
毕业论文-基于c++数字抽取滤波器的设计与实现_第2页
毕业论文-基于c++数字抽取滤波器的设计与实现_第3页
毕业论文-基于c++数字抽取滤波器的设计与实现_第4页
毕业论文-基于c++数字抽取滤波器的设计与实现_第5页
已阅读5页,还剩66页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

长江大学工程技术学院毕业设计论文题目名称基于C数字抽取滤波器的设计与实现系部信息系专业班级电信61102班学生姓名指导教师辅导教师时间2014年9月至2015年6月目录任务书开题报告指导教师审查意见评阅教师评语答辩会议记录中文摘要外文摘要1前言12绪论221数字抽取滤波器的研究背景与意义222数字抽取滤波器的设计与实践323数字抽取滤波器的作用与优势324数字抽取滤波器的应用现状与发展趋势43数字抽取滤波器的分类及其原理分析531几种频率之间的关系532抽取与抽取滤波器的原理633两种特殊的抽取滤波器的性质分析1034多级抽取滤波器的原理124数字滤波器的算法设计1441由模拟滤波器设计IIR数字滤波器1442巴特奥兹滤波器1543切比雪夫滤波器1644椭圆滤波器1845用MATLAB仿真数字滤波器2046FDATOOL界面2047用FDATOOL进行带通滤波器设计2248将系统函数由直接型化成级联型2549二阶节系数的确定25410系数转换成二进制码27411本章小结305数字抽取滤波器的实现过程3151用MATLAB进行仿真3152用C进行滤波器的实现34521抽取滤波器的界面框图35522对话框的设计35523对话框的编程38524输入信号的初始化处理41525图形的设计42526编写绘图代码中要用的重要的语句436总结44参考文件45致谢46附录47长江大学工程技术学院毕业设计论文任务书系信息系专业电子信息工程班级61102班学生姓名指导教师/职称/讲师1毕业设计论文题目基于C的数字抽取滤波器的设计与实现2毕业设计论文起止时间2014年9月1日2015年6月1日3毕业设计论文所需资料及原始数据1李永全、杨顺辽、孙祥娥数字信号处理M武汉华中科技大学出版社,20112佘新平数字电路设计、仿真、测试M武汉华中科技大学出版社,20103康华光电子技术基础(数字部分)M北京高等教育出版社,20064张志涌、杨祖樱MATLAB教程M北京北京航空航天大学出版社,20095胡光书数字信号处理理论、算法与实现M北京清华大学出版社,20034毕业设计论文应完成的主要任务(1)查阅文献,提出研究方案,2014年10月25日前完成开题报告。(2)完成数字抽取滤波器的原理、仿真、实现的各个流程的功能设计。(3)按照各个模块的功能,进行详细设计,达到设计的要求和初衷。(4)2015年5月25日前提交毕业设计(论文)初稿,要求排版格式规范,字数在15000字左右。5任务书下达日期2014年10月1日指导教师签字长江大学工程技术学院毕业设计(论文)开题报告题目名称基于C数字抽取滤波器的设计与实现系部信息系专业班级电信61102学生姓名指导教师辅导教师开题报告时间2014年10月25日基于C数字抽取滤波器的设计与实现学生,信息系指导教师,信息系一、题目来源本题目来源于科研项目。二、研究(设计)目的和意义这次毕业设计的主要目的是进一步学习和巩固我们在大学这几年所学的知识,尤其是数字信号处理中的MATLAB和数字滤波知识。在设计过程中能综合运用所学的知识内容,进一步熟悉和掌握MATLAB的使用方法,对数字滤波器的原理以及应用有较深的了解。另外在设计过程中,可以使我学会调查研究,收集资料,根据具体要求和设计规范选择并确定设计方案,利用标准图表和手册完成设计任务,培养综合运用已经掌握的知识解决一般设计问题的能力。进一步提高合理安排时间,设计计算、绘图、编制工程预算以及使用计算机的技能。同时对语音通信和图像处理行业的有关政策方针、技术规范有了一定的了解,在计算绘图、设计说明等方面得到训练,为今后从事程序设计工作奠定了基础。三、阅读的主要参考文献1李永全、杨顺辽、孙祥娥数字信号处理M武汉华中科技大学出版社,20112佘新平数字电路设计、仿真、测试M武汉华中科技大学出版社,20103康华光电子技术基础(数字部分M北京高等教育出版社,20064张志涌、杨祖樱MATLAB教程M北京北京航空航天大学出版社,20095胡光书数字信号处理理论、算法与实现M北京清华大学出版社,20036陈怀琛数字型号处理教程MATLAB释义与实现M北京清华大学出版社,20047邓华MATLAB通信仿真及应用实例解析M北京人民邮电出版社,19978陈桂明、张明照、戚红雨应用MATLAB语言处理数字信号与数字图像M北京科学出版社,20019赵健、李毅、杨菊平数字信号处理学习辅导M北京清华大学出版社,200610刘加海、余建军VISUALC程序设计基础M北京科学出版社,200311罗斌VISUALC编程技巧精选500例M北京中国水利水电出版社,200512郑阿奇VISUALC实用教程第二版M北京电子工业出版社,2004四、国内外现状和发展趋势自九十年代以来,由于大规模集成电路和电子技术以及信号处理技术的发展,使得数字抽取滤波器的实现已不成问题,例如在软件无线电中的应用。软件无线电技术是软件化、计算密集型的操作形式。它与数字和模拟信号之间的转换、计算速度、运算量、存储量、数据处理方式等问题息息相关,这些技术决定着软件无线电技术的发展程度和进展速度。宽带/多频段天线、A/D/A转换器件、DSP(数字信号处理器)技术及实时操作系统是软件无线电的关键技术。在软件无线电通信系统中,要达到尽可能多的以数字形式处理无线信号,必须把A/D转换尽可能地向天线端推移,这样就对A/D转换器的性能提出了更高的要求。为保证抽样后的信号保持原信号的信息,A/D转换要满足NYQUIST准则,而在实际应用中,为保证系统更好的性能,通常抽样率为带宽的25倍。例如有一个带宽50MHZ的蜂窝移动通信系统,其抽样率要达到125MSPS每秒百万次抽样MILLIONSAMPLEPERSECOND以上。一般的A/D转换器难以达到这个要求。可以看出低分辨率的A/D转换器最有可能运用于软件无线电通信系统中,但低分辨率的A/D转换能否满足信号处理的要求,以及如何将已有的A/D转换器运用于更宽的频段也是需要进一步研究的课题。对于第三代移动通信中WCDMA空中接口,一个载频的带宽可以是5MHZ,10MHZ或20MHZ,目前的A/D转换技术已经基本满足要求,对更高的转换带宽要求,可以用并行A/D转换的方法完成。数字滤波器是对数字信号进行滤波处理以得到期望的响应特性的离散时间系统。它按实现的网络结构或者从单位脉冲响应,分为IIR(无限脉冲响应)和FIR(有限脉冲响应)滤波器。如果IIR滤波器和FIR滤波器具有相同的性能,那么通常IIR滤波器可以用较低的阶数获得高的选择性,执行速度更快,所用的存储单元更少。但相比模拟滤波器,数字滤波器具有精确度高,使用灵活,可靠性高等优点,已广泛地应用在各个科学技术领域,如语音、通信、雷达、声纳、遥感、图像、生物医学以及许多工程应用领域。随着数字信息时代的发展,数字滤波技术已经成为一门及其重要的科学和技术领域。以往的滤波器大多采用模拟电路技术,但是模拟电路技术存在很多难以解决的问题,而采用数字滤波器则可以避免很多类似的难题,当然它在其他方面也有很多突出的优点都是模拟技术所不能及的,所以采用数字滤波器对信号进行处理是目前的发展方向。目前,数字滤波器的设计在图像处理、数据压缩等方面的应用取得了令人瞩目的成就,近年来迅速发展。五、主要研究项目与实现方法数字抽取滤波器也是典型的数字信号处理器件,对于数字抽取滤波器原理的研究可以更清晰的去理解数字信号处理方面的知识,在实践应用环节中提高数字抽取滤波器的品质可以提高整个数字处理系统的性能。因此,对数字抽取滤波器的研究是十分必要的。本文主要介绍数字信号处理中的基本概念,数字滤波器的设计方法,抽取的概念,抽取滤波的原理,和几种常用的滤波器及其使用的条件与各自的特点。可以很方便地运用MATLAB对滤波器进行理论的分析,再用VC实现一个简单的抽取滤波的过程,设计抽取滤波器的过程是对理论知识学习的过程,也是对数字信号处理软件熟悉的过程。学会运用相关软件可以提高对理论知识的分析与理解,有助于以后的工作和学习。六、完成毕业设计(论文)所必须具备的工作条件所必须具备的工作环境(1)计算机一台;(2)WPS软件;(3)MATLAB仿真软件;(4)C编译器。七、达到目标(1)了解IIR数字滤波器的基本原理;(2)了解熟悉MATLAB在滤波器设计过程中的应用;(3)使用MATLAB语言设计滤波器并进行仿真;(4)利用MATLAB随时对比设计要求和滤波器特性调整参数,使其直观简单,达到滤波器的最优化;(5)使用C对数字滤波器进行实现,完成该课题。八、课题分阶段进度计划与时间安排第一阶段2014年9月28日2014年10月25日,查阅文献资料,完成开题报告;第二阶段2014年10月26日2015年3月31日,完成概要设计和详细设计;第三阶段2015年4月1日2015年4月30日,利用软件进行仿真与实现;第四阶段2015年5月1日2015年5月20日,测试各功能模块以及系统测试;第五阶段2015年5月21日2015年6月1日,撰写论文。九、指导老师审查意见长江大学工程技术学院毕业设计指导教师审查意见学生姓名专业班级电信61102毕业设计论文题目基于C数字抽取滤波器的设计与实现指导教师职称讲师审查日期201561审查参考内容毕业设计论文的研究(设计)内容、方法及结果,难度及工作量,质量和水平,存在的主要问题与不足。学生的学习态度和组织纪律,学生掌握基础和专业知识的情况,解决实际问题的能力。毕业设计论文是否完成规定任务,是否达到了学士学位水平的要求,是否同意参加答辩等。审查意见指导教师签名评定成绩(百分制)_分长江大学工程技术学院毕业设计论文评阅教师评语学生姓名专业班级电信61102毕业设计论文题目基于C数字抽取滤波器的设计与实现评阅教师张佳职称讲师评阅日期评阅参考内容毕业设计论文的研究(设计)内容、方法及结果,难度及工作量,质量和水平,存在的主要问题与不足。学生掌握基础和专业知识的情况,解决实际问题的能力。毕业设计论文是否完成规定任务,是否达到了学士学位水平的要求,是否同意参加答辩等。评语评阅教师签名评定成绩(百分制)_分长江大学工程技术学院毕业设计论文答辩记录及成绩评定学生姓名专业班级电信61102毕业设计论文题目基于C数字抽取滤波器的设计与实现答辩时间年月日答辩地点一、答辩小组组成答辩小组组长成员二、答辩记录摘要答辩小组提问(分条摘要列举)学生回答情况评判三、答辩小组对学生答辩成绩的评定(百分制)_分毕业设计论文最终成绩评定依据指导教师评分、评阅教师评分、答辩小组评分和学校关于毕业设计论文评分的相关规定成绩百分制_分答辩小组组长签名秘书签名年月日系答辩委员会主任签名系盖章基于C数字抽取滤波器的设计与实现学生,信息系指导教师,信息系摘要本文研究了数字滤波器在MATLAB环境下的设计方法及仿真分析,以及用C对数字滤波器进行了实现。在无限脉冲响应(IIR)数字滤波器设计中,先采用脉冲响应不变法及双线性Z变化法设计数字滤波器,最后进行滤波器的频带转换,即进行模拟滤波器的设计,然后进行模拟数字滤波器转换。在有限脉冲响应(FIR)数字滤波器设计中,研究了FIR线性相位滤波器的特点和用窗函数法设计FIR滤波器两个问题。这两类滤波器全部设计过程都是由理论分析、编程设计、具体实现的步骤进行的。数字抽取滤波器的单位冲激响应是有限长的,可以用一个因果系统来实现,因而数字滤波器可以做成即是因果又是稳定的系统,研究了数字抽取滤波器的原理以及设计与实现滤波器的基本方法。关键词数字抽取滤波器;MATLAB;C;无限脉冲响应;有限脉冲响应。DESIGNANDIMPLEMENTATIONOFDIGITALFILTERBASEDONCSTUDENTSHAOJIANGANG,DEPARTMENTOFINFORMATIONSUPERVISORLIUZHIYANG,DEPARTMENTOFINFORMATIONABSTRACTINTHISPAPER,THEDESIGNMETHODANDSIMULATIONANALYSISOFTHEDIGITALFILTERINMATLABENVIRONMENT,ANDTHEREALIZATIONOFTHEDIGITALFILTERWITHCARESTUDIEDININFINITEIMPULSERESPONSEIIRDIGITALFILTERDESIGN,FIRSTLY,BYTHEPULSERESPONSEINVARIANCEANDBILINEARZVARIATIONMETHODTODESIGNADIGITALFILTERFINALLY,FILTERFREQUENCYCONVERSION,NAMELY,ANALOGFILTERDESIGN,ANDANALOGTODIGITALFILTERCONVERSIONINTHEDESIGNOFFINITEIMPULSERESPONSEFIRDIGITALFILTER,THECHARACTERISTICSOFFIRLINEARPHASEFILTERANDTWOPROBLEMSOFFIRFILTERARESTUDIEDBYWINDOWFUNCTIONMETHODALLTHEDESIGNOFTHETWOTYPESOFFILTERSARECARRIEDOUTBYTHEORETICALANALYSIS,PROGRAMMINGDESIGN,ANDSPECIFICIMPLEMENTATIONSTEPSDIGITALDECIMATIONFILTERUNITIMPULSERESPONSEISOFFINITELENGTH,ANDCANBEUSEDFORACAUSALSYSTEMTOACHIEVE,SODIGITALFILTERCANBEMADEISCAUSALANDSTABLESYSTEMOFDIGITALDECIMATIONFILTERPRINCIPLEASWELLASTHEDESIGNANDREALIZATIONOFTHEFILTEROFTHEBASICMETHODKEYWORDSDIGITALFILTERMATLABCINFINITEIMPULSERESPONSEFINITEIMPULSERESPONSE基于C数字抽取滤波器的设计与实现1前言信号与信号处理是信息科学中近几十年来发展最为迅速的学科之一。长期以来,信号处理技术一直用于转换、产生模拟或数字信号,其中最为频繁应用的领域就是信号的滤波。数字滤波是语音、图像处理、模式识别和谱分析等应用中的一个基本处理部件,它可以满足滤波器对幅度和相位特性的严格要求,避免模拟滤波器无法克服的电压漂移、温度漂移和噪声等问题。我的毕业设计的课题是基于C数字抽取滤波器的设计与实现,其主要目的是通过此次课程设计进一步学习和巩固数字信号处理及其相关知识,并学会利用所学的知识能力,在设计过程中能综合运用所学知识内容,进一步熟悉和掌握MATLAB的使用方法对数字滤波器的原理有较深的了解为即将进入社会参加工作打下坚实的基础掌握收集资料、消化资料和综合资料的能力等等。从事电子通信业而不能熟练操作使用MATLAB电子线路设计软件,在工作和学习中将是寸步难行的。在数学、电子、金融等行业,使用MATLAB等计算机软件对产品进行设计、仿真在很早以前就已经成为了一种趋势,这类软件的问世也极大地提高了设计人员在通信、电子等行业的产品设计质量与效率。同时熟练的使用C也是非常重要的,C兼具C中级语言和面向对象程序设计语言的特性,既可以用于设计性能要求比较高的系统级程序,又可以用来设计应用软件,设计出程序易于维护、易于重用、效率高。设计得当的话,还易于移植。众所周知,实际过程中信号传输都要经过调制与解调这一过程,由于消息传过来的原始信号即调制信号具有频谱较低的频谱分量,这种信号在许多信道中不宜传输。因而,在通信系统的发送端通常需要有调制过程,反之在接收端则需要有解调过程。2绪论21数字抽取滤波器的研究背景与意义在数字信号处理中,滤波占有极其重要的地位。数字滤波是语音和图象处理、模式识别、谱分析等应用中的一个基本的处理技术。与模拟滤波相比,数字滤波具有很多突出的优点,它可以满足滤波器对幅度和相位特性的严格要求,可以避免模拟滤波器所无法克服的电压漂移、温度漂移和噪声等问题。当今,数字信号处理DSPDIGTALSIGNALPROCESSING技术正飞速发展,它不但自成一门学科,更是以不同形式影响和渗透到其他学科它与国民经济息息相关,与国防建设紧密相连;它影响或改变着我们的生产、生活方式,因此受到人们普遍的关注。数字化、智能化和网络化是当代信息技术发展的大趋势,而数字化是智能化和网络化的基础,实际生活中遇到的信号多种多样,例如广播信号、电视信号、雷达信号、通信信号、导航信号、射电天文信号、生物医学信号、控制信号、气象信号、地震勘探信号、机械振动信号、遥感遥测信号,等等。上述这些信号大部分是模拟信号,也有小部分是数字信号。模拟信号是自变量的连续函数,自变量可以是一维的,也可以是二维或多维的。大多数情况下一维模拟信号的自变量是时间,经过时间上的离散化采样和幅度上的离散化量化,这类模拟信号便成为一维数字信号。因此,数字信号实际上是用数字序列表示的信号,语音信号经采样和量化后,得到的数字信号是一个一维离散时间序列;而图像信号经采样和量化后,得到的数字信号是一个二维离散空间序列。数字信号处理,就是用数值计算的方法对数字序列进行各种处理,把信号变换成符合需要的某种形式。例如,对数字信号经行滤波以限制他的频带或滤除噪音和干扰,或将他们与其他信号进行分离;对信号进行频谱分析或功率谱分析以了解信号的频谱组成,进而对信号进行识别;对信号进行某种变换,使之更适合于传输,存储和应用;对信号进行编码以达到数据压缩的目的,等等。数字滤波技术是数字信号分析、处理技术的重要分支。无论是信号的获取、传输,还是信号的处理和交换都离不开滤波技术,它对信号安全可靠和有效灵活地传输是至关重要的。在所有的电子系统中,使用最多技术最复杂的要算数字滤波器了。数字滤波器的优劣直接决定产品的优劣。22数字抽取滤波器的设计与实践本文主要介绍数字信号处理中的基本概念,数字滤波器的设计方法,抽取的概念,抽取滤波的原理,和几种常用的滤波器及其使用的条件与各自的特点。可以很方便地运用MATLAB对滤波器进行理论的分析,再用C实现一个简单的抽取滤波的过程,设计抽取滤波器的过程是对理论知识学习的过程,也是对数字信号处理软件熟悉的过程。23数字抽取滤波器的作用与优势滤波器是指用来对输入信号进行滤波的硬件或软件。如果滤波器的输入、输出都是离散时间信号,则该滤波器的冲激响应也必然离散,这样的滤波器定义为数字滤波器。数字滤波器在数字信号处理的各种应用中发挥着十分重要的作用它是通过对采样数据信号进行数学运算处理来达到频域滤波的目的。数字滤波器是提取有用信息非常重要、非常灵活的方法,是现代信号处理的重要内容。因而在数字通信、语音图象处理、谱分析、模式识别、自动控制等领域得到了广泛的应用。相对于模拟滤波器,数字滤波器没有漂移,能够处理低频信号,频率响应特性可做成非常接近于理想的特性,且精度可以达到很高,容易集成等,这些优势决定了数字滤波器的应用将会越来越广泛。同时DSPDIGITALSIGNALPROCESSOR处理器的出现和FPGAFIELDPROGRAMMABLEGATEARRAY的迅速发展也促进了数字滤波器的发展,并为数字滤波器的硬件实现提供了更多的选择。相对于模拟滤波器数字滤波器具有以下显著的优点精度高模拟电路中元件的精度很难达到103以上,而数字系统17位字长就可以达到105精度。因此在一些精度要求很高的滤波系统中,就必须采用数字滤波器来实现。灵活性大数字滤波器的性能主要取决于乘法器的各系数,而这些系数是存放在系统存储器中的,只要改变存储器存放的系数,就可以得到不同的系统,这些都比改变模拟滤波器系统的特性要容易和方便的多,因而具有很大的灵活性。高因为数字系统只有两个电平信号“0”和“1”,受噪声及环境条件的影响小,而模拟滤可靠性波器各个参数都有一定的温度系数,易受温度、振动、电磁感应等影响。并且数字滤波器多采用大规模集成电路,大规模集成电路的故障率远比众多分立元件构成的模拟系统的故障率低。易于大规模集成数字部件具有高度的规范性,便于大规模集成,大规模生产,且数字滤波器电路主要工作在截止或饱和状态,对电路参数要求不严格,因此产品的成品率高,价格也日趋降低。相对于模拟滤波器,数字滤波器在体积、重量和性能方面的优势己越来越明显。并行处理数字滤波器的另外一个最大的优点就是可以实现并行处理,比如数字滤波器可以采用DSP处理器来实现并行处理。TL公司TMS320CS5000系列的DSP芯片采用8条指令并行处理的结构,时钟频率为L00MHZ的DSP芯片。可高达800MIPS每秒执行百万条指令。24数字抽取滤波器的应用现状和发展趋势在信号处理过程中,所处理的信号往往混有噪音,从接收到的信号中消除或减弱噪音是信号传输和处理中十分重要的问题。在近代电信设备和各类控制系统中,数字滤波器应用极为广泛。语音处理是最早应用数字滤波器的领域之一,也是最早推动数字信号处理理论发展的领域之一。另外,数字滤波技术已成功的应用于静止图像和活动图像的恢复和增强、数据压缩、去噪音和干扰、图像识别以及层析X射线摄影,还成功的应用于雷达、声纳、超声波和红外信号的可见图像成像。其他的,在通信、电视、雷达、声纳、生物医学信号处理、音乐等领域也有很大的应用价值。现在以及未来的日子里,数字滤波器在军事上以被大量应用于导航、制导、电子对抗、战场侦察;在电力系统中被应用于能源分布规划和自动检测;在环境保护中被应用于对空气污染和噪声干扰的自动监测;在经济领域中被应用于股票市场预测和经济效益分析,等等越来越广泛的应用范围。随着科学技术的发展,人们对数据转换的精度要求越来越高,例如在现代地震勘探中,地震信号的动态范围大于12DB,为了能获得高分辨的地震数据,要求采集系统的动态范围必须大于120DB,畸变小于百万分之五,甚至百万分之一,这就对采集系统的A/D转换器提出了很高的要求。同样,在用数字方法产生高精度的模拟信号时,为了使产生的信号的信噪比谐波畸变等指标优于百万分之五,要求A/D转换器必须具有20位以上的分辨率,而抽取滤波器作为A/D转换器中的重要的一部分,也必须在算法的研究,在物理实现的功能器件,在设计的思路要不断完善,在设计的方法上有所突破,在不同的环境中都能有较强的可操作性,使用范围更加广阔。随着电子工业的发展,对滤波器的性能要求越来越高,功能也越来越多,并且要求它们向集成方向发展。我国滤波器研制和生产与上述要求相差甚远,为缩短这个差距,电子工程和科技人员负有重大的历史责任。3数字抽取滤波器的分类及其原理分析31几种频率之间的关系在分析抽取滤波之前,必须理解数字信号处理中常见的几种频率之间的转化关系。首先是连续时间信号的模拟频率,用表示,他是一个连续变量,其单位为赫F兹(HZ),另一个模拟频率为角频率,用表示,单位为弧度/秒(RAD/S)。他与的关系为。FF2在模拟信号与系统中,对频率的取值范围没有限制,如果包括负频率在内,可取的所有值,完全由信号的特性决定。F离散信号数字频率的概念,用表示,单位为弧度RAD。并通过采样信号的频谱,建立了模拟频率与数字频率之间的关系为SFT2如果离散信号是由模拟信号采样而得到的,由上式可见,对应于模拟频率的,按照采样定理,是采样信号能取的最高频率,因此也就是SF/SF数字频率能取的最高频率。由于离散信号的频谱具有周期性,因此与模拟频率不同,的有效值范围在,或。范围的频谱与202范围的频谱相同。注意,虽然信号在时域是离散的,但仍然是连续变量。0我们将数字频率进行了离散化,根据DFT的长度N,对在范围内进行0了N点的等间隔采样,每个样点的间隔为,并引入了离散的数字频率的概2念,用K表示。K的取值范围为的整数,因此可得出离散频率K与数字频10率和模拟频率之间的对应关系为KKNFKS2当时,与数字频率对应,如果是对实模拟模拟信号进行数字化处/N理,就对应着模拟信号1/2采样频率,也就是信号的最高频率。而2K则与模拟信号的负频率部分对应。1/02/SF32抽取与抽取滤波器的原理设XN为模拟信号XT按奈奎斯特采样率抽样后获得的数字信号,抽样间隔SF为T,现在需要将抽样率降低M倍,即进行M1的整数倍抽取,然后形成SF/1新的数字信号YM,YM的抽样频率和抽样间隔T1分别为1SF,T1MT,11SFM/HNM抽取器图1抽取滤波的过程按抽样定理,在一次抽样时为了保证不产生混叠失真,XT必须是一个最高频率为FS/2的带限信号,记X为XN的数字频谱,则有JWE2JWEX0FT2这里W2SFT/为相对于抽样频率的数字频率。现在讨论抽样率减少M倍S后YM的频谱。为了说明取M4,由于YM的抽样率/4显然,为了防止混叠失真,必须1SF将信号的频谱限制在(/8/8)之间,相对于原抽样频率的数字频率应为SFSF34/2TW应此为了直接由XN获得YM,首先必须用数字低通滤波器将高于以上的频率4/分量滤去,滤波器的特性为OTHERMEHJ041然后再将滤波器的输出每隔M点取一点,达到抽样率降低的目的从而形成YM,这个过程的框图如图1,显然YM的频谱相对于抽样频率而言为SF0JWEY4/W相对于新抽样频率而言则为1SFJEY这里为相对于抽样频率的数字频率。如果将数字低通率波/2FT1SF器的单位脉冲响应表示为为滤波器的输出,则有,NHW5KKX而YMWMM6在图1中用第二个方框所进行的运算来表示,该方框符号代表为抽取器,它对应于抽取第MMM0,1,2,样点的运算。将5式和6式合并,则求得YM与XN之间的关系为7KKMMXHNW7式所代表的系统仍然是线性系统,但不是移不变系统,这可说明如下。设XNYM表示对应N时刻时,抽取器的输出为YM,那么对应于时刻的输出LN为KLKMMXHWKL显然若令当(R为整数)时,则有,/1LL/1RMYMYMNX说明为的移位形式,但若不为M的整数倍时,不能表示成的1YL1MY移位形式,即不成立,因为不为整数时/YLNXL/没有意义。/MLMY之所以如此,是因为输出YM是由XN移动M的整数倍样点来计算的缘故。由于4式所代表的滤波器为理想低通滤波器,它是不能实现的,在实际中须采用以某种形式逼近它的非理想滤波器来实现。为了能研究实际低通滤波器对YM所造成误差的性质,下面推导YM的Z变换与XN的Z变换之间的关系。为此,先定义一个新序列其他0,2,0NWN上式表明,在抽样瞬间,但在其他时间等于零。若定义DN为周期为WM的抽样序列8MMND则有DW既然DN是以M为周期性脉冲序列,由此可求得其离散付氏级数1210MNKJNEKD其反变换为102102KMNKJMKKJEENDN因此可将N表示W,9102MKNKJEN由于10MWY取YN的Z变换MMZMZZY因为除M为M的整数以外全为零,上式可化为WMMMMKNKJMMZEWZWZY/10/2/1110/1/2KKJE其中WZ代表WN的变换。因为WZHZXZ因此,可把YZ表示成12112012ZEMKJMKMKJXHZY当Z在单位圆上取值时,Z,可求得YM的付氏变换或频谱为1JW13022111MKMKWJKJJWEXEEY其中,为相对于抽样频率的数字频率。13式求和中的每一项11/2SF1SF代表了输入信号XN经HN滤波后的频谱的周期延拓。/2/MKWJMKWJEXEH很明显,13式说明二次抽样序列的频谱实际上为XN经滤波后频谱的混叠。将13式中各项直接写出,可得YX1JWE/1MJWEHJ/11412/1211MWJMWJEXE若低通滤波器接近理想滤波器,能滤去在以上的频率分量,那么NXW/13式中的高次项均可略去,则13式变成了0K15111/111JWMJMJWJJWEXEEXHEY说明除了一个常数因子1/M外,的确代表了原信号的频谱,但若滤波器性能1JY不好就会产生13式所示的混叠失真,因此抽取滤波器的一个重要作用就是抗混叠失真。33两种特殊的抽取滤波器的性质分析331半带滤波器下图为半带滤波抽取器的单级实现框图,其中HBHALF2BAND为半带滤波器,FS为输入采样率,而为输出采样率,符号“2”表示2倍抽取HB2图2半带滤波器的单级实现一般来说,为了保证滤波抽取器抽样率变化后不产生混叠失真,必须将频率在以上的频率分量全部滤掉,如果滤除不干净,这些频率分量将以为中心折叠进入有用的频带现在考虑频率特性如图横坐标为模拟频率,为数字角频率所示的一种特殊FIR滤波。图3半带滤波器的幅频特性1通带波纹与阻带波纹相等,即PSPS2通带边频与阻带边频相对于对称,即此类FIR数字低通PFS4/SFPFS2/SF滤波器称HBFIR滤波器显然,当足够小时,HBFIR滤波器可用作抽取因子为2的滤波器因为此时内的频率分量仅会折叠进频带内即/SSFPSFF4/在滤波器的过渡带内,不会对兴趣的通带内信号产生影响为了保证FIR滤PF0波器的线性相位特性,必须使滤波器的系数具有偶对称特性,即要求,其中,N为滤波器的阶数,设N为奇数另外,可以证明HB滤波器系1NHN数除中心点即点外,所有的的偶次系数均为零,且由于HBFIR12/NH滤波器系数的对称性和近一半的系数为零,使得滤波运算的乘法次数减少近3/4,加法次数减少了近一半用于存放滤波器系数的存储器也减少了一半。由半带滤波器所引出的M分之一带滤波器运用也很广。所谓M分之一带滤波器是指滤波器的单位脉冲响应,满足0/10NCNH即除了零点之外,所有M倍数的样值均等于零。可见M分之一滤波器是半带滤波器的推广,设滤波器的长度N为奇数,他也是第一种类型的线性相位滤波器。为了叙述方便,我们分析零相位滤波器。为奇数2/1NKZNHZHK这是一个非因果的滤波器,如果要想得到因果滤波器,只须乘上因子CZH即可KZZHZK将表示成多相滤波器的形式,由式可知其零相分量,这样可将ZHCZE表示为121MMMZZZEZCZ设计M分之一带滤波器最简单的方法是窗函数法,如果令低通滤波器的截止频率为,可得到滤波器的系数如下/SINWH可见,M分之一带滤波器可以做为抽取因子为M的抽取滤波器。332梳状滤波器具有线形相位的FIR滤波器,其系数是对称的,与一般滤波器相比可节省一半的乘法器,但系数较复杂;而梳状滤波器系数比较简单(是整系数),不需要存储单元存储系数,也不需要乘法器,直接采用积分器、微分器或加法器就可实现。与能达到相同抽取目的的滤波器相比,它的结构要简单得多,因此常用作抽取滤波器的第一级,且可在这一级实现较大幅度的降频,一般可降到4倍奈奎斯特频率。梳状滤波器的转移函数为ZNNNZH110从式(8)可知,梳状滤波器有N个零点,1个极点,在频率为0的地方极点和零点相互抵消,因此梳状滤波器实质上也是一个FIR滤波器。而且梳状滤波器的零点均匀分配在单位圆上,所以梳状滤波器在频率为KFS/N(FS为采样频率,N为滤波器长度,K为整数)处幅度为零,在这些频率附近幅度有很大衰减。为了利用这个特性,梳状滤波器的长度N应该等于抽取滤波器的抽取因子M。为了获得较大的阻带衰减,梳状滤波器一般采用多级级联的方式,级联的级数为KL1(L为模拟调制器的阶数)。34多级抽取滤波器的原理通过以上分析,抽取前应做低通滤波以避免信号的混叠。低通滤波器采用具有线性相位的FIR滤波器,此种滤波器的系数具有对称性,可减少近一半的乘法器。FIR滤波器的设计方法有窗函数法,频率抽样法,最优化设计法。一般来说,一级抽取的频率变化太大,抗混叠低通滤波器实现困难,实际上在设计中常常采用多级抽取将频率降低,这样每一级滤波器的阶数比较低,容易实现。设总的抽取因子为,各级抽取因子为,那么MIM,321NII0同样,每个抽取滤波器之前插入一个抗混叠滤波器,该滤波器随着抽取因子的不同参数指标会发生变化。采用多级抽取后,通带截止频率与阻带波纹参数不变,阻带变为,通带波纹变为,其中,是原始抽样频率,是第I,2/1ISIFM,/NPSFF级抽取滤波器的输出抽样频率,N为总的抽取级数。利用MATLAB进行枚举比较,发现多级抽取时一般采用3,4级,增加级数,滤波器的性能也不会有太大变化,所以并不是级数越多越好,而且每级的抽取因子应该由高到低排列。多级抽取滤波器的第一级采用梳状滤波器实现16倍抽取,中间采用两级半带滤波器分别实现4倍抽取,最后一级采用普通的线性相位FIR滤波器对幅度进行补偿。为了说明多级抽取的优点,举一例子进行分析设有一4阶调制器输出的码的抽样率为,要求经多级KHZFS256滤波抽取后转换成抽样率为的信号。滤波器的指标如下通带波纹等于KHZFS1阻带波纹,通带边频和阻带边频分别,根据前610SPZFP40ZFC0面所述的原则,针对这一具体情况,按,设计了一个三级81R23R抽取结构,第一级采用的梳状滤波器按设计,第二级和第三级滤波器采用LKKAISER窗函数法进行设计,节数按计算13614957LOG20FNP表1三级抽取滤波器参数所需运算速率与存储量三级抽取级数单级抽取第一级第二级第三级输入采样率256256324输出采样率13241抽取因子256884通带上边频040504阻带下边频05梳状滤波器3505滤波器节数199772985313乘加次数/样点3995357169625最小运算速率(运算次数/S)399530001824000676000625000为了比较,表中还列出了单级抽取时的值,显然采用多级抽取大大节省了运算量。由于滤波器节数减少,也节省了用于存储滤波器系数的存储量以及进行滤波器运算所需的循环缓冲区的大小。线性相位FIR数字滤波器和IIR数字滤波器相比,突出的优点是具有严格的线性相位特性,而且稳定性高,运算速度快,各设计各种幅度特性的滤波器。但是在相同的技术指标下,FIR数字滤波器说需阶数往往高于IIR数字滤波器的510倍,且对通带、阻带滤波器的衰减特性控制不明显,设计过程往往需要借助计算机来玩成的。4数字滤波器的算法设计41由模拟滤波器设计IIR数字滤波器在之前的部分中已经说明,理想的滤波器是非因果的,即物理上不可实现的系统。工程上常用的模拟滤波器都不是理想的滤波器。但按一定规则构成的实际滤波器的幅频特性可逼近理想滤波器的幅频特性,例如巴特奥兹BUTTERWORTH、切比雪夫CHEBYSHEV滤波器和椭圆滤波器等。42巴特奥兹滤波器巴特沃兹滤波器9BUTTERWORTH滤波器特点具有通带内最大平坦的振幅特性,且随F,幅频特性单调。其幅度平方函数312221ANCAHJJN为滤波器阶数,如图4图4巴特沃斯滤波器振幅平方特性通带使信号通过的频带阻带抑制噪声通过的频带过渡带通带到阻带间过渡的频率范围C截止频率。过渡带为零理想滤波器阻带|HJ|0通带内幅度|HJ|CONSHJ的相位是线性的图中,N增加,通带和阻带的近似性越好,过渡带越陡。通带内,分母/C1,/C2N1,增加,A2快速减小。C,幅度衰减,相当于3DB衰减点。21A20C振幅平方函数的极点3221AANCHSSJ可见,BUTTERWORTH滤波器的振幅平方函数有2N个极点,它们均匀对称地分布在|S|C的圆周上。考虑到系统的稳定性,知DF的系统函数是由S平面左半部分的极点SP3,SP4,SP5组成的,它们分别为332233345,JJPCPCPCSESE系统函数为34345CAPPPHSS令,得归一化的三阶BF35321ASS如果要还原的话,则有3632/1ACCCHSSS43切比雪夫滤波器振幅平方函数为372221ANCAHJV式中有效通带截止频率与通带波纹有关的参量,大,波纹大,0M_SAMPLEFREQ/2|M_W1M_SAMPLEFREQ/2MESSAGEBOX“不满足采样定理“,“系统提示“,MB_ICONINFORMATION|MB_OKRETURN525图形的设计为了完成图形程序的设计必须理解VC中CDC类的使用。CDC类实在MICROSOFTFOUNDATIONCLASSMFC库中定义的一个大类。CDC类中包含很多成员函数和成员变量,可以使用他们来操纵各种类型的绘图对象,例如画笔(PEN),笔刷(BRUSH),图案PATTEUN)和位图(BITMAP)。CDC类是从COBJECT类派生出来的对象,可以用他来完成以下任务(1)画出并着色很多种类型的形状例如线,矩形,椭圆,多边形和区域(不规则形状的对象)。(2)用彩色或黑白印出文字。(3)设置和获取对象和文字的颜色以及窗口背景的颜色。(4)滚动屏幕和文本的显示。(5)通知一个程序由于屏幕上的某部分的内容已经被修改了而应作出相应的更新。(6)显示文件(METALFILES)。可以在屏幕或打印纸上显示各种各样的位图,形状,文本和其他对象。使用GDI对象进行绘图时,遵循的步骤(1)在堆栈中定义一个GDI对象(如CPEN,CBUSH对象),然后用相应的函数(如CREATEPEN,CREATESOLIDBRUSH)创建此GDI对象,但要注意有些GDI派生类的构造函数允许用户提供足够的信息,从而一步即可完成对象的创建任务,这些类有CPEN,CBUSH。(2)将构造的GDI对象选入当前设备环境中,但不要忘记将原来的GDI对象保存来。(3)绘图结束后,恢复当前设备环境中原来的GDI对象。由于GDI对象是在堆栈中创建,当程序结束后,框架会自动删除程序的GDI对象。526编写绘图代码中要用的重要的语句获取绘制坐标的文本框CWNDPWNDGETDLGITEMIDC_SRSY指针CDCPDCPWNDGETDCPWNDINVALIDATEPWNDUPDATEWINDOW创建画笔对象CPENPPENREDNEWCPEN恢复以为前的画笔PDCSELECTOBJECTPOLDPENPDCMOVETO40,INTCOS1_TIME0320绘制余弦曲线的程序FORI0ILINETO40I710/SIZE31,INTCOS1_TIMEI100320绘图结果6总结本次毕业设计所涉及到的主要知识是用MATLAB进行了理论的分析,用VC做了实际的工程,两种不同的方法在不一样的研究目的时,有不同的方法与方式达到合适的效果。我将把我本次毕业设计的心得和体会简述如下(1)类型寻优确定好所需要设计的滤波器用途后,首先对其进行性能需求分析,明确该系统应该达到的各种性能指标,其次,拟定多种滤波器类型,对这些方案采用MATLAB进行仿真,进行综合分析和比较,选择出最佳的滤波器类型作为本设计方案,然后,依据其性能指标编写MATLAB程序,确定二阶节系数。(2)系统思维必须有系统的设计思维,把每一个细节都放到整个系统中考虑,考虑整个系统设计的可行性、完整性、稳定性和功能的实现,这样才不会局限在细节上,才能快速的完成性能优越的硬件设计。(3)把握细节系统也是由细节构成的,在把握整个系统思维的基础上把握每一个细节,因为每一个细节都有可能决定整个系统的性能。在写VHDL代码时,把每一个变量与过程考虑清楚才可能完成整个程序。(4)勇于尝试系统即使经过了非常严格的论证也仍然可能存在问题,或许面对问题一时没有很好的解决方案,但是有一个或许可行的大胆的想法,不要犹豫,试一试吧。勇于尝试往往能够找到更好的解决方法。(5)保持自信无论遇到什么困难,我都相信自己一定能够找到解决的方法,有的时候只要稍微再用一点力、使一点劲,结果就会不一样。参考文献1李永全、杨顺辽、孙祥娥数字信号处理M武汉华中科技大学出版社,20112佘新平数字电路设计、仿真、测试M武汉华中科技大学出版社,20103康华光电子技术基础(数字部分M北京高等教育出版社,20064张志涌、杨祖樱MATLAB教程M北京北京航空航天大学出版社,20095胡光书数字信号处理理论、算法与实现M北京清华大学出版社,20036陈怀琛数字型号处理教程MATLAB释义与实现M北京清华大学出版社,20047邓华MATLAB通信仿真及应用实例解析M北京人民邮电出版社,19978陈桂明、张明照、戚红雨应用MATLAB语言处理数字信号与数字图像M北京科学出版社,20019赵健、李毅、杨菊平数字信号处理学习辅导M北京清华大学出版社,200610刘加海、余建军VISUALC程序设计基础M北京科学出版社,200311罗斌VISUALC编程技巧精选500例M北京中国水利水电出版社,200512郑阿奇VISUALC实用教程第二版M北京电子工业出版社,200413陈亚勇等MATLAB信号处理详解M北京人民邮电出版社,2001,914姚天宏,程源面向对象VISUALC编程技巧M北京电子工业出版社,199715王静半带抽取有限冲激响应滤波器的设计及仿真J大连海事大学学报,200416李家会,周金治抽取滤波器的实现结构研究J信息与电子工程,200617唐浩强C程序设计(第二版)M北京清华大学出版社,200318吴大正信号与线形系统分析

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论