eda技术实验指导书_第1页
eda技术实验指导书_第2页
eda技术实验指导书_第3页
eda技术实验指导书_第4页
eda技术实验指导书_第5页
已阅读5页,还剩34页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

EDA技术实验指导书(适用专业计算机科学与技术、电子信息、农电)年级专业学号姓名成绩信息与电气工程学院目录1前言12MAXPLUSII应用简介23实验项目23实验一实体说明练习23实验二全加器的设计26实验三计数器和寄存器29实验四移位寄存器32实验五数字钟的设计341前言近几十年由于超大规模集成电路和软件技术的快速发展,使数字系统集成到一片集成电路内成为可能,ALTERA、XILINX、AMD等公司都推出了非常好的CPLD和FPGA产品,并为这些产品的设计配备了设计、下载软件,这些软件除了支持图形方式设计数字系统外,还支持设计多种数字系统的设计语言,使数字系统设计起来更加容易。EDA技术与单片机实验I指导书是与理论课程EDA技术配套开出的,是电信、计算机等专业的一门专业实验课程,对各专业的学生具有非常重要的作用。本实验课与理论课同时进行,与理论课有着较密切的联系。EDA技术是数字电路与逻辑设计的后续课程,需要上机进行实际操作,因此同学们在做本实验之前必须具备以下的基础知识1数字电路的基础知识;2电子计算机常用操作系统的使用方法;3必须有一定的理论知识做基础,与理论课同时进行。其主要任务是让学生了解数字集成电路及其设计方法的发展现状,熟悉大规模可编程专用集成电路CPLD/FPGA的内部结构,掌握一种硬件描述语言,并具备使用VHDL进行数字电路系统设计的能力。EDA技术与单片机实验I指导书中的内容是实验环节非常重要的部分,也是理解和巩固理论知识的过程,目的是让学生熟悉可编程专用集成电路的设计,开发流程,熟练掌握一种EDA设计工具,提高学生应用计算机技术进行数字电路与数字系统的设计和辅助分析的能力。本书的目的就是帮助学生学会设计数字系统的硬件描述语言VHDL、并熟悉ALTERA公司产品和软件MAXPLUS。2MAXPLUSII应用简介1概述MAXPLUS是ALTERA公司提供的FPGA/CPLD开发集成环境,ALTERA是世界上最大可编程逻辑器件的供应商之一。MAXPLUS界面友好,使用便捷,被誉为业界最易用易学的EDA软件。在MAXPLUS上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程,它提供了一种与结构无关的设计环境,是设计者能方便地进行设计输入、快速处理和器件编程。MAXPLUS开发系统的特点1开放的界面MAXPLUS支持与CADENCE,EXEMPLARLOGIC,MENTORGRAPHICS,SYNPLICTY,VIEWLOGIC和其它公司所提供的EDA工具接口。2与结构无关MAXPLUS系统的核心COMPLIER支持ALTERA公司的FLEX10K、FLEX8000、FLEX6000、MAX9000、MAX7000、MAX5000和CLASSIC可编程逻辑器件,提供了世界上唯一真正与结构无关的可编程逻辑设计环境。3完全集成化MAXPLUS的设计输入、处理与校验功能全部集成在统一的开发环境下,这样可以加快动态调试、缩短开发周期。4丰富的设计库MAXPLUS提供丰富的库单元供设计者调用,其中包括74系列的全部器件和多种特殊的逻辑功能(MACROFUNCTION)以及新型的参数化的兆功能(MAGEFUNCTION)。5模块化工具设计人员可以从各种设计输入、处理和小校验选项中进行选择从而使设计环境用户化。6硬件描述语言(HDL)MAXPLUS软件支持各种HDL设计输入选项,包括VHDL、VERILOGHDL和ALTERA自己的硬件描述语言AHDL。7OPENCORE特征MAXPLUS软件具有开放核的特点,允许设计人员添加自己认为有价值的宏函数。2MAXPLUS功能简介1原理图输入(GRAPHICEDITOR)MAXPLUSII软件具有图形输入能力,用户可以方便的使用图形编辑器输入电路图,图中的元器件可以调用元件库中元器件,除调用库中的元件以外,还可以调用该软件中的符号功能形成的功能块。图形编辑器窗口见图21。2硬件描述语言输入(TEXTEDITOR)MAXPLUSII软件中有一个集成的文本编辑器,该编辑器支持VHDL,AHDL和VERILOG硬件描述语言的输入,同时还有一个语言模板使输入程序语言更加方便,该软件可以对这些程序语言进行编译并形成可以下载配置数据,文本编辑器窗口见图22。3波形编辑器AVEFORMEDITOR在进行逻辑电路的行为仿真时,需要在所设计电路的输入端加入一定的波形,波形编辑器可以生成和编辑仿真用的波形(SCF文件),使用该编辑器的工具条可以容易方便的生成波形和编辑波形。波形编辑器窗口如图23所示。使用时只要将欲输入波形的时间段用鼠标涂黑,然后选择工具条中的按钮,例如,如果要某一时间段为高电平,只需选择按钮”1”。还可以使用输入的波形WDF文件经过编译生成逻辑功能块,相当于已知一个芯片的输入输出波形,但不知是何种芯片,使用该软件功能可以解决这个问题,设计出一个输入和输出波形相同CPLD电路。4管脚(底层)编辑窗口FLOORPLANEDITOR该窗口用于将已设计好逻辑电路的输入输出节点赋予实际芯片的引脚,通过鼠标的拖拉,方便的定义管脚的功能。管脚(底层)编辑窗口见图24。5自动错误定位在编译源文件的过程中,若源文件有错误,软件可以自动指出错误类型和错误所在的位置。图23波形编辑器窗口图21图形编辑器窗口图22文本编辑器窗口图24管脚(底层)编辑窗口逻辑综合与适配该软件在编译过程中,通过逻辑综合LOGICSYNTHESIZER和适配FITTER模块,可以把最简单的逻辑表达式自动的吻合在合适的器件中。设计规则检查选取COMPILEPROCESSINGDESIGNDOCTOR菜单,将调出规则检查医生,该医生可以按照三种规则中的一个规则检查各个设计文件,以保证设计的可靠性。一旦选择该菜单,在编译窗口将显示出医生,用鼠标点击医生,该医生可以告诉你程序文件的健康情况。见图25。多器件划分PARTITIONER如果设计不能完全装入一个器件,编译器中的多器件划分模块,可自动的将一个设计分成几个部分并分别装入几个器件中,并保证器件之间的连线最少。编程文件的产生编译器中的装配程序ASSEMBLER将编译好的程序创建一个或多个编程目标文件EPROM配置文件(POF)例如,MAX7000系列SRAM文件(SCF)例如,FLEX8000系列的配置芯片EPROMJEDEC文件JED十六进制文件HEX文本文件TTF串行BIT流文件SBF10仿真当设计文件被编译好,并在波形编辑器中将输入波形编辑完毕后,就可以进行行为仿真了,通过仿真可以检验设计的逻辑关系是否准确。11分析时间ANALYZETIMING该功能可以分析各个信号到输出端的时间延迟,可以给出延迟矩阵和最高工作频率。见图26和图27。图25规则检测医生图26延迟矩阵图27最高工作频率3MAXPLUS设计过程一、设计流程使用MAXPLUS软件设计流程由以下几部分组成。如图28所示。1设计输入可以采用原理图输入、HDL语言描述、EDIF网表输入及波形输入等几种方式。2编译先根据设计要求设定编译参数和编译策略,如器件的选择、逻辑综合方式的选择等。然后根据设定的参数和策略对设计项目进行网表提取、逻辑综合和器件适配,并产生报告文件、延时信息文件及编程文件,供分析仿真和编程使用。3仿真仿真包括功能仿真、时序仿真和定时分析,可以利用软件的仿真功能来验证设计项目的逻辑功能是否正确。4编程与验证用经过仿真确认后的编程文件通过编程器(PROGRAMMER)将设计下载到实际芯片中,最后测试芯片在系统中的实际运行性能。在设计过程中,如果出现错误,则需重新回到设计输入阶段,改正错误或调整电路后重复上述过程。图29是MAXPLUS编译设计主控界面,它显示了MAXPLUS自动设计的各主要处理环节和设计流程,包括设计输入编辑、编译网表提取、数据库建立、逻辑综合、逻辑分割、适配、延时网表提取、编程文件汇编(装配)以及编程下载9个步骤。二、设计步骤(1)输入项目文件名FILE/PROJECT/NAME(2)输入源文件图形、VHDL、AHDL、VERLOG和波形输入方式MAXPLUS/GRAPHICEDITOR;MAXPLUS/TEXTEDITOR;MAXPLUS/WAVEFORMEDITOR(3)指定CPLD型号ASSIGN/DEVICE(4)设置管脚、下载方式和逻辑综合的方式ASSIGN/GLOBALPROJECTDEVICEOPTION,ASSIGN/GLOBALLOGICSYNTHESIS(5)保存并检查源文件FILE/PROJECT/SAVEBEGINIFCLK1THENIFRST1THENQZEROELSIFEN1THENQDATAELSEQQENDIFENDIFENDPROCESSENDBEHAV(1)根据以上结构体补全VHDL程序,并画出其接口界面。(2)设定输入的激励信号,画出对应的输出波形。2结构体二ARCHITECTUREBEHAVOFVAR2ISBEGINPROCESSCLKVARIABLETEMPSTD_LOGIC_VECTOR3DOWNTO0“0000“BEGINIFCLKEVENTANDCLK1THENTEMPTEMP1ENDIFQTEMPENDPROCESSENDBEHAVE(1)写出对应的实体说明,并画出其接口界面。(2)画出对应的输出波形。实验二全加器的设计一、预习内容1结合教材中的介绍熟悉MAXPLUS软件的使用及设计流程;2全加器设计原理。二、实验目的1握VHDL文本方式设计方法,练习元件例化语句(COMPONENT)的应用;2熟悉MAXPLUS软件的使用及设计流程;3掌握全加器原理,能进行加法器的设计。三、实验器材1EDA实验箱;2计算机四、实验内容与步骤1根据接口界面写出半加器的VHDL程序画出对应的输出波形2写出利用元件例化(COMPONENT)的方式将半加器组成全加器的VHDL程序。画出对应的输出波形实验三计数器和寄存器一、预习内容1计数器和寄存器的特点和功能;2进程间通信的实现。二、实验目的1掌握计数器的程序编写;2掌握寄存器的程序编写;3掌握三态输出。三、实验器材1EDA实验箱;2计算机四、实验内容与步骤1设计一个计数器,写出其VHDL程序,画出波形图。CRLDENCPQ7Q0功能000000000清零10D7D0置数110不变保持111加1计数计数2设计一个具有三态输出的异步清零、同步送数的16位寄存器,写出其VHDL程序,画出波形图。实验四移位寄存器一、预习内容1移位寄存器的几种类型;2移位寄存器的VHDL实现方式。二、实验目的掌握移位寄存器的不同编写方法。三、实验器材1EDA实验箱;2计算机四、实验内容与步骤1设计一个具有16位并行输出的左移扭环形计数器,写出其VHDL程序,画出波形图。2设计一个具有串入串出,并入并出的8位双向移位寄存器。根据下面的功能表画出其接口界面图,并写出其VHDL程序。CLRLOADRLCLKQ700XXX00000000,异步清零10XDATA70,并行送数110右移一位,串入DIN111左移一位,串入DIN实验五数字钟的设计一、预习内容1数字钟的工作原理;2数字钟的工作流程图与原理方框图;3自顶向下的数字系统设计方法。二、实验目的1熟练地运用数字系统的设计方法进行数字系统设计;2掌握用COMPONENT语句设计数字钟。三、实验器材1EDA实验箱;2计算机四、实验要求1要求显示秒、分、时,显示格式如下图51显示格式2可清零、可调时。五、实验原理数字钟的基本工作原理数字钟以其显示时间的直观性、走时准确性而受到了人们的欢迎并很快走进了千家万户。

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论