单片机原理课程设计基于STC89C52的交通灯电路设计_第1页
单片机原理课程设计基于STC89C52的交通灯电路设计_第2页
单片机原理课程设计基于STC89C52的交通灯电路设计_第3页
单片机原理课程设计基于STC89C52的交通灯电路设计_第4页
单片机原理课程设计基于STC89C52的交通灯电路设计_第5页
已阅读5页,还剩25页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、单片机课程设计单片机原理及应用课程设计 题目: 基于stc89c52的交通灯电路设计 学院名称 电气工程学院指导老师 班 级 学 号 学生姓名 二0一一年六月基于stc89c52的交通灯电路设计摘要 交通在人们的日常生活中占有重要的地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件

2、结合,加以完善。本系统采用stc89c52点单片机以及数码管为中心器件来设计交通灯控制器,实现了南北方向为主要干道,要求南北方向每次通行时间为30秒,东西方向每次通行时间为25秒。启动开关后,南北方向红灯亮25秒钟,而东西方向绿灯先亮20秒钟,然后闪烁3秒钟,转为黄灯亮2秒钟。接着,东西方向红灯亮30秒钟,而南北方向绿灯先亮25秒,然后闪烁3秒钟,转为黄灯亮2秒钟,如此周而复始。软件上采用c语言编程,主要编写了主程序,中断程序延时程序等。经过整机调试,实现了对十字路口交通灯的模拟。关键字:单片机stc89c52  数码管  交通灯 发光二极管abstract traffic

3、 in people's daily life has important position, as people social activities have become increasingly frequent, this also reflect incisively and vividly. the emergence of the traffic lights, traffic to effectively control, for facilitating traffic flow, improve traffic capacity, reduce the number

4、 of traffic accidents have obvious effect. in recent years, with the rapid development of science and technology, the application of scm is unceasingly thorough, it causes the traditional control test technology increasingly updates. in real-time detection and automatic control of microcomputer appl

5、ication system, often as a core component single-chip microcontroller, only to use knowledge is not enough, should according to specific hardware structure, software and hardware combined with improved. the system uses the microcontroller and digital tube stc89c52 points for center device to designi

6、ng traffic light controller, realized the north-south direction for the main road north and south direction, requirements for 30 seconds each passage of time, time for something every passage direction of 25 seconds. start switch, the north-south direction after 25 seconds, and a red light green lig

7、ht first things direction and blink 20 seconds to 3 seconds, yellow light for 2 seconds. then, things direction, and red light is 30 seconds before the north and south direction green light 25 seconds, then flashing lights to kelly 3 seconds, two seconds, so go round and round.software programmed us

8、ing c language, the main program mainly prepared, interruption program delay procedures, etc. after the commissioning, realized the simulation of intersection traffic lights. keyword: scm stc89c52 digital tube traffic light emitting diode目 录(1) 引言-5 1.1 交通灯的历史和现状-5 1.2 单片机概述-5(二)硬件部分-6 2.1 stc89c52芯

9、片简介-6 2.2 主要功能特性-7 2.3 stc89c52芯片封装与引脚功能-7 2.4 基于stc89c52交通灯控制系统的硬件电路分析及设计-10(3) 软件部分-14 3.1 交通灯的软件设计流程图-14 3.2 控制器的软件设计-15(四)电路原理图与pcb图的绘制-16 4.1 电路原理图的绘制(见附录二)-16 4.2 pcb图的绘制(见附录三)-16 4.3 印刷电路板的注意事项-16(五)调试及仿真-19 5.1 调试-19 5.2 仿真结果-20(6) 实验总结及心得体会-21 6.1 实验总结-21 6.2 实验总结-22(7) 参考文献-22附录一 程序清单-22附录

10、二 电路原理图(显示部分)-28附录三 电路pcb图(显示部分)-29附录四 实物图-30(一)引言1.1 交通灯的历史和现状当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在19世纪就已出现了。1858年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两以旋转式方形玻璃提灯组成,红色表示“停止”,绿色表示“注意”。1869年1月2日,煤气灯爆炸,使警察受伤,遂被取消。电气启动的红绿

11、灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,1914年始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止”,绿灯亮表示“通行”。1918年,又出现了带控制的红绿灯和红外线红绿灯。带控制的红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。1968年,联合国道路交通和道路标志

12、信号协定对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉路口的停车线后停车。黄灯是警告信号,面对黄灯的车辆不能越过停车线,但车辆已十分接近停车线而不能安全停车时可以进入交叉路口。1.2 单片机概述单片机微型计算机是微型计算机的一个重要分支,也是颇具生命力的机种。单片机微型计算机简称单片机,特别适用于控制领域,故又称为微控制器。 通常,单片机由单块集成电路芯片构成,内部包含有计算机的基本功能部件:中央处理器、存储器和i

13、/o接口电路等。因此,单片机只需要和适当的软件及外部设备相结合,便可成为一个单片机控制系统。单片机经过1、2、3代的发展,目前单片机正朝着高性能和多品种方向发展,它们的cpu功能在增强,内部资源在增多,引脚的多功能化,以及低电压低功耗。本设计选用了市面上较为常见的stc89c52单片机,stc89c52 是一种低功耗、高性能cmos8位微控制器,具有 8k 在系统可编程flash 存储器。使用高密度非易失性存储器技术制造,与工业80c51 产品指令和引脚完全兼容。片上flash允许程序存储器在系统可编程,亦适于常规编程器。在单芯片上,拥有灵巧的8 位cpu 和在线系统可编程flash,使得st

14、c89c52为众多嵌入式控制应用系统提供高灵活、超有效的解决方案。stc89c52的管脚图如图1所示。 图一:stc89c52的管脚图(二)硬件部分2.1 stc89c52芯片简介 stc89c52是一个低功耗,高性能cmos 8位单片机,片内含8k bytes isp(in-system programmable)的可反复擦写1000次的flash只读程序存储器,器件采用atmel公司的高密度、非易失性存储技术制造,兼容标准mcs-51指令系统及stc89c52引脚结构,芯片内集成了通用8位中央处理器和isp flash存储单元,功能强大的微型计算机的stc89c52可为许多嵌入式控制应用系

15、统提供高性价比的解决方案。 stc89c52具有如下特点:40个引脚,8k bytes flash片内程序存储器,256 bytes的随机存取数据存储器(ram),32个外部双向输入/输出(i/o)口,5个中断优先级2层中断嵌套中断,2个16位可编程定时计数器,2个全双工串行通信口,看门狗(wdt)电路,片内时钟振荡器。 此外, stc89c52设计和配置了振荡频率可为0hz并可通过软件设置省电模式。空闲模式下,cpu暂停工作,而ram定时计数器,串行口,外中断系统可继续工作,掉电模式冻结振荡器而保存ram的数据,停止芯片其它功能直至外中断激活或硬件复位。同时该芯片还具有pdip、tqfp和p

16、lcc等三种封装形式,以适应不同产品的需求。2.2主要功能特性: · 兼容mcs-51指令系统· 8k可反复擦写(>1000次)isp flash rom· 32个双向i/o口· 4.5-5.5v工作电压· 3个16位可编程定时/计数器· 时钟频率0-33mhz· 全双工uart串行中断口线· 256x8bit内部ram· 2个外部中断源· 低功耗空闲和省电模式· 中断唤醒省电模式· 3级加密位· 看门狗(wdt)电路· 软件设置空闲和省电功能

17、83; 灵活的isp字节和分页编程· 双数据寄存器指针表1112.3 stc89c52芯片封装与引脚功能 stc89c52芯片的尾缀第一个字母共4种,分别是a,j,p,q,表示的是封装类型,a是tqfp封装(四方密脚扁平塑封封装),j是plcc封装(塑封j形脚),p是pdip也就是双列直插封装,q是qpfp封装(四方扁平塑封封装)。第二个字母共3种,分别是c,i,a,表示的是允许的环境温度,c为商业级,工作温度0至+70摄氏度;i为工业级,工作温度-40至+85度;a为汽车工业级,工作温度-40至+105度。这两个字母前的数字表示最高主频,如12为12m,16为16m,20为20m,

18、24为24m。  stc89c52 是一种低功耗、高性能cmos8位微控制器,具有 8k 在系统可编程flash 存储器。使用atmel 公司高密度非 易失性存储器技术制造,与工业stc89c52产品指令和引脚完 全兼容。片上flash允许程序存储器在系统可编程,亦适于 常规编程器。在单芯片上,拥有灵巧的8 位cpu和在系统可编程flash,使得stc89c52为众多嵌入式控制应用系统提供高灵活、超有效的解决方案。stc89c52具有以下标准功能: 8k字节flash,256字节ram,32位i/o口线,看门狗定时器,2个数据指针,三个16位定时器/计数器,一个6向量2级中

19、断结构,全双工串行口,片内晶振及时钟电路。另外,stc89c52 可降至0hz 静态逻辑操作,支持2种软件可选择节电模式。空闲模式下,cpu 停止工作,允许ram、定时器/计数器、串口、中断继续工作。掉电保护方式下,ram内容被保存,振荡器被冻结, 单片机一切工作停止,直到下一个中断或硬件复位为止。8位微控制器 8k 字节在系统可编程 flash stc89c52 2.3.1 p0 口介绍 p0 口:p0口是一个8位漏极开路的双向i/o口。作为输出口,每位能驱动8个ttl逻辑电平。对p0端口写“1”时,引脚用作高阻抗输入。 当访问外部程序和数据存储器时,p0口也被作为低8位地址/数据复用。在这

20、种模式下, p0具有内部上拉电阻。 在flash编程时,p0口也用来接收指令字节;在程序校验时,输出指令字节。程序校验时,需要外部上拉电阻。 2.3.2 p1 口介绍 p1 口:p1 口是一个具有内部上拉电阻的8 位双向i/o 口,p1 输出缓冲器能驱动4 个ttl 逻辑电平。对p1 端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流(iil)。此外,p1.0和p1.2分别作定时器/计数器2的外部计数输入(p1.0/t2)和时器/计数器2的触发输入(p1.1/t2ex),具体如下表所示。 在flash编程和校验时,p1

21、口接收低8位地址字节。 引脚号第二功能 p1.0 t2(定时器/计数器t2的外部计数输入),时钟输出 p1.1 t2ex(定时器/计数器t2的捕捉/重载触发信号和方向控制) p1.5 mosi(在系统编程用) p1.6 miso(在系统编程用) p1.7 sck(在系统编程用) 2.3.3 p2 口介绍 p2 口:p2 口是一个具有内部上拉电阻的8 位双向i/o 口,p2 输出缓冲器能驱动4 个ttl 逻辑电平。对p2 端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流(iil)。在访问外部程序存储器或用16位地址读取

22、外部数据存储器(例如执行movx dptr)时,p2 口送出高八位地址。在这种应用中,p2 口使用很强的内部上拉发送1。在使用8位地址(如movx ri)访问外部数据存储器时,p2口输出p2锁存器的内容。在flash编程和校验时,p2口也接收高8位地址字节和一些控制信号。 2.3.4 p3 口介绍 p3 口:p3 口是一个具有内部上拉电阻的8 位双向i/o 口,p2 输出缓冲器能驱动4 个ttl 逻辑电平。对p3 端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流(iil)。 p3口亦作为stc89c52特殊功能(第二

23、功能)使用,如下表所示。 在flash编程和校验时,p3口也接收一些控制信号。 端口引脚的第二功能 p3.0 rxd(串行输入口) p3.1 txd(串行输出口) p3.2 into(外中断0) p3.3 int1(外中断1) p3.4 to(定时/计数器0) p3.5 t1(定时/计数器1) p3.6 wr(外部数据存储器写选通) p3.7 rd(外部数据存储器读选通) 此外,p3口还接收一些用于flash闪存编程和程序校验的控制信号。 2.3.5 控制信号介绍 rst复位输入。当振荡器工作时,rst引脚出现两个机器周期以上高电平将是单片机复位。 ale/prog当访问外部程存储器或数据存储

24、器时,ale(地址锁存允许)输出脉冲用于锁存地址的低8位字节。一般情况下,ale仍以时钟振荡频率的1/6输出固定的脉冲信号,因此它可对外输出时钟或用于定时目的。要注意的是:每当访问外部数据存储器时将跳过一个ale脉冲。 对flash存储器编程期间,该引脚还用于输入编程脉冲(prog)。 如有必要,可通过对特殊功能寄存器(sfr)区中的8eh单元的d0位置位,可禁止ale操作。该位置位后,只有一条movx和movc指令才能将ale激活。此外,该引脚会被微弱拉高,单片机执行外部程序时,应设置ale禁止位无效。 psen程序储存允许(psen)输出是外部程序存储器的读选通信号,当stc89c52由外

25、部程序存储器取指令(或数据)时,每个机器周期两次psen有效,即输出两个脉冲,在此期间,当访问外部数据存储器,将跳过两次psen信号。 ea/vpp外部访问允许,欲使cpu仅访问外部程序存储器(地址为0000h-ffffh),ea端必须保持低电平(接地)。需注意的是:如果加密位lb1被编程,复位时内部会锁存ea端状态。 如ea端为高电平(接vcc端),cpu则执行内部程序存储器的指令。 flash存储器编程时,该引脚加上+12v的编程允许电源vpp,当然这必须是该器件是使用12v编程电压vpp2.4 基于stc89c52交通灯控制系统的硬件电路分析及设计2.4.1 各部分电路分析(1)电源电路

26、 单片机工作时需要的+5v电压,本设计采用普通的电源接口,通过5v的 电源适配器供电。电源部分还连接开关和发光二极管,用于判断电源是否正常工 作。需要注意的是,滤波电容对于电路设计非常重要,不加滤波电容会导致系统不稳定。因此在电源部分10uf铝电解作为滤波电容。(2) 复位电路 stc89c52的复位输入引脚rst为单片机提供了初始化的手段,可以使程 序从指定处开始执行,在stc89c52的时钟电路工作后,只要rst引脚上出现超过两个机器周期以上的高电平时,即可产生复位操作。只要rst保持高电平,则单片机循环复位。只有当rst有高电平变为低电平以后,单片机才从0000h地址开始执行程序,本系统

27、采用按键复位方式的复位电路,如图二所示,当复位键按下时,系统自动切换到四个方向都只有黄灯亮的初始状态。 图二:复位电路(3) 时钟电路 stc89c52的时钟可以有两种方式,一种是内部方式,利用芯片内部的振荡电路;另外一种为外部方式。本论文根据实际需要和简便,采用内部振荡方式。89c52内部有一个用于构成振荡器的高增益反相放大器,引脚xtal1和xtal2分别是此放大器的输入和输出端。这个放大器与作为反馈元件的片外的片外晶体与陶瓷谐振器一起构成一个自激振荡器。 stc89c52虽然有内部震荡电路,但要形成时钟,必须外接元件所以实际构成的震荡时钟电路。外界晶体以及电容c1和c2构成并联谐振电路接

28、在放大器的反馈回路中。对接电容的值虽然没有严格的要求,但电容的大小会影响振荡器频率的高低,振荡器的稳定性,起振的快速性和温度的稳定性。晶体频率可在1.2mhz12mhz之间任选,电容c1和c2的典型值在20pf100pf之间选择,考虑到本系统对于外接晶体的频率稳定性要求不高,所以采取比较廉价的12mhz陶瓷谐振器。如图三所示。图三:时钟电路(4) 显示电路 显示部分选用4位共阴数码管。数码管的8位数据端通过1k的上拉电阻连接到单片机p0口,4位使能端分别连到p1.1-p1.4.由于数码管的驱动电流较大,所以在设计时加上了三极管9013作为驱动电路。 (5) 发光二极管 该系统用12个红黄绿三色

29、的发光二极管作信号灯,南北方向的红黄绿发光二极管阴极分别接在p2.0-p2.2口。东西方向的红黄绿发光二极管阴极分别接在p2.2-p2.7口,所有发光二极管的阳极都通过一个1k电阻接到5v电源。2.4.2 设计过程 (1)设计要求和目的 此设计采用stc89c52单片机为核心控制元件,结合数码管,发光二极管等器件;利用了单片机的延时电路,按键复位电路,时钟电路,定时中断等电路构成一个用于十字路口的交通灯系统。 系统设计目的如下: a.设计一个用于十字路口的交通灯控制电路。 b.要求南北方向和东西方向的车辆交替运行,东西南北四个方向均有三色灯指示。 c.南北方向绿灯亮时东西方向红灯亮,南北方向红

30、灯亮时东西方向绿灯亮,如此循环。并且在红灯切换为绿灯时黄灯会点亮5秒后再完成切换 d.四个方向除了有三色指示灯外还有四位的数码管用于倒计时显示信号灯点亮的时间。 e.电源使用普通的电源接口,通过5v电源适配器供电。(2)系统具体工作状态如下表1所示 (3) 系统方框图该系统的方框图如图4所示,在stc89c52单片机的p1.0口接上一个开关用于启动系统;在rst脚接复位开关,用于将系统恢复为初始状态(本设计初始状态设为四个方向均是黄灯点亮);在p0口接四位数码管用于倒计时显示信号灯亮的时间。在p2口接红黄绿三色发光二极管用作信号灯。该系统还包括电源部分和振荡器部分。图四:系统原理框图(三)软件

31、部分 3.1 交通灯的软件设计流程图(如图五)图五:交通灯的软件设计流程图3.2 控制器的软件设计 3.2.1 每秒钟的设定 延时方法可以有两种一中是利用stc-51内部定时器产生溢出中断来确定1秒的时间,另一种是采用软件延时的方法。计数器硬件延时.a计数器初值计算 定时器工作时必须给计数器送计数器初值,这个值是送到th和tl中的。他是以加法记数的,并能从全1到全0时自动产生溢出中断请求。因此,我们可以把计数器记满为零所需的计数值设定为c和计数初值设定为tc 可得到如下计算通式: tc=m-c式中,m为计数器模值,该值和计数器工作方式有关。在方式0时m为213 ;在方式1时m的值为216;在方

32、式2和3为28.b 计算公式 t=(mtc)t计数 或tcm-ct计数 t计数是单片机时钟周期的12倍;tc为定时初值 如单片机的主脉冲频率为12mhz,经过12分频 方式0tmax213微秒8.912毫秒 方式1tmax216微秒65.536毫秒 显然秒钟已经超过了计数器的最大定时间,所以我们只有采用定时器和软件相结合的办法才能解决这个问题 3.2.2 1秒的方法 我们采用在主程序中设定一个初值为20的软件计数器和使t0定时50毫秒这样每当t0到50毫秒时cpu就响应它的溢出中断请求,进入他的中断服务子程序。在中断服务子程序中,cpu先使软件计数器减,然后判断它是否为零。为零表示1秒已到可以

33、返回到输出时间显示程序。 3.2.3 相应程序代码 (1)主程序 (2)中断程序 (3)延时程序 (4) 数码管显示程序 程序清单(见附录一)(四)电路原理图与pcb图的绘制41 电路原理图的绘制(见附录二)42 pcb图的绘制(见附录三)43 印刷电路板的注意事项4.3.1 印刷电路板图设计的基本原则要求印刷电路板的设计,从确定板的尺寸大小开始,印刷电路板的尺寸因受机箱外壳大小限制,以能恰好安放入外壳内为宜,其次,应考虑印刷电路板与外接元器件(主要是电位器、插口或另外印刷电路板)的连接方式。印刷电路板与外接组件一般是通过塑料导线或金属隔离线进行连接。但有时也设计成插座形式。即:在设备内安装一

34、个插入式印刷电路板要留出充当插口的接触位置。 4.3.2 布线图设计的基本方法首先需要对所选用组件器及各种插座的规格、尺寸、面积等有完全的了解;对各部件的位置安排作合理的、仔细的考虑,主要是从电磁场兼容性、抗干扰的角度,走线短,交叉少,电源,地的路径及去耦等方面考虑。各部件位置定出后,就是各部件的联机,按照电路图连接有关引脚,完成的方法有多种,印刷线路图的设计有计算机辅助设计与手工设计方法两种。最原始的是手工排列布图。这比较费事,往往要反复几次,才能最后完成,这在没有其它绘图设备时也可以,这种手工排列布图方法对刚学习印刷板图设计者来说也是很有帮助的。计算机辅助制图,现在有多种绘图软件

35、,功能各异,但总的说来,绘制、修改较方便,并且可以存盘贮存和打印。接着,确定印刷电路板所需的尺寸,并按原理图,将各个元器件位置初步确定下来,然后经过不断调整使布局更加合理,印刷电路板中各组件之间的接线安排方式如下:()印刷电路中不允许有交叉电路,对于可能交叉的线条,可以用“钻”、“绕”两种办法解决。即,让某引线从别的电阻、电容、三极管脚下的空隙处“钻”过去,或从可能交叉的某条引线的一端“绕”过去,在特殊情况下如何电路很复杂,为简化设计也允许用导线跨接,解决交叉电路问题。()电阻、二极管、管状电容器等组件有“立式”,“卧式”两种安装方式。立式指的是组件体垂直于电路板安装、焊接,其优点是节省空间,

36、卧式指的是组件体平行并紧贴于电路板安装,焊接,其优点是组件安装的机械强度较好。这两种不同的安装组件,印刷电路板上的组件孔距是不一样的。()同一级电路的接地点应尽量靠近,并且本级电路的电源滤波电容也应接在该级接地点上。特别是本级晶体管基极、发射极的接地点不能离得太远,否则因两个接地点间的铜箔太长会引起干扰与自激,采用这样“一点接地法”的电路,工作较稳定,不易自激。()总地线必须严格按高频中频低频一级级地按弱电到强电的顺序排列原则,切不可随便翻来复去乱接,级与级间宁肯可接线长点,也要遵守这一规定。特别是变频头、再生头、调频头的接地线安排要求更为严格,如有不当就会产生自激以致无法工作。调频头等高频电

37、路常采用大面积包围式地线,以保证有良好的屏蔽效果。()强电流引线(公共地线,功放电源引线等)应尽可能宽些,以降低布线电阻及其电压降,可减小寄生耦合而产生的自激。()阻抗高的走线尽量短,阻抗低的走线可长一些,因为阻抗高的走线容易发笛和吸收信号,引起电路不稳定。电源线、地线、无反馈组件的基极走线、发射极引线等均属低阻抗走线,射极跟随器的基极走线、收录机两个声道的地线必须分开,各自成一路,一直到功效末端再合起来,如两路地线连来连去,极易产生串音,使分离度下降。4.3.3 印刷板图设计中应注意的地方布线方向:从焊接面看,组件的排列方位尽可能保持与原理图相一致,布线方向最好与电路图走线方向相一致,因生产

38、过程中通常需要在焊接面进行各种参数的检测,故这样做便于生产中的检查,调试及检修(注:指在满足电路性能及整机安装与面板布局要求的前提下)。各组件排列,分布要合理和均匀,力求整齐,美观,结构严谨的工艺要求。电阻,二极管的放置方式:分为平放与竖放两种:()平放:当电路组件数量不多,而且电路板尺寸较大的情况下,一般是采用平放较好;对于1/4w以下的电阻平放时,两个焊盘间的距离一般取4/10英寸,1/2w的电阻平放时,两焊盘的间距一般取5/10英寸;二极管平放时,1n400x系列整流管,一般取3/10英寸;1n540x系列整流管,一般取45/10英寸。 ()竖放:当电路组件数较多,而且电路板尺

39、寸不大的情况下,一般是采用竖放,竖放时两个焊盘的间距一般取12/10英寸。 电位器:ic座的放置原则 ()电位器:在稳压器中用来调节输出电压,故设计电位器应满中顺时针调节时输出电压升高,反时针调节器节时输出电压降低;在可调恒流充电器中电位器用来调节充电电流折大小,设计电位器时应满中顺时针调节时,电流增大。电位器安放位轩应当满中整机结构安装及面板布局的要求,因此应尽可能放轩在板的边缘,旋转柄朝外。()ic座:设计印刷板图时,在使用ic座的场合下,一定要特别注意ic座上定位槽放置的方位是否正确,并注意各个ic脚位是否正确,例如第1脚只能位于ic座的右下角线或者左上角,而且紧靠定

40、位槽(从焊接面看)。进出接线端布置 ()相关联的两引线端不要距离太大,一般为23/10英寸左右较合适。()进出线端尽可能集中在1至2个侧面,不要太过离散。 设计布线图时要注意管脚排列顺序,组件脚间距要合理。 在保证电路性能要求的前提下,设计时应力求走线合理,少用外接跨线,并按一定顺充要求走线,力求直观,便于安装,高度和检修。设计布线图时走线尽量少拐弯,力求线条简单明了。布线条宽窄和线条间距要适中,电容器两焊盘间距应尽可能与电容引线脚的间距相符;设计应按一定顺序方向进行,例如可以由左往右和由上而下的顺序进行。(五)调试及仿真5.1 调试5.1.1 断点调试为安全起见

41、,防止硬件烧坏,首先进行断电调试,用万用表检测系统是否有短路现象,再检查严原理是否正确,各个线路的电平是否正常。经检测,未出现短路现象以及各个电平都正常。5.1.2 通电调试(1). 关掉交流电源开关,用万用表直流电压档测量稳压输出电压,测量结果只有4.2v,用12v电源直接接入7805输入端,测量输出电压达到4.99v。经检查分析为稳压芯片7805输入端电容没有接入,输入电压变化太大,造成7805无法稳压。经处理问题解决。(2). 检查系统时钟是否正常工作,用万用表直流电压档测量xtal1与axtal2两端间的电压,检测到电压若为2.5v左右,则视为正常工作。(3). 检查复位电路是否正常工

42、作。 5.2 仿真结果 通过protues软件仿真结果如下图六所示图五:仿真结果图(六) 实验总结及心得体会 6.1 实验总结在实践的过程中,我们需要自己查阅相关的资料文献,在设计之前,我们都会有一种比较盲目的心情,当查阅了大量的资料之后才会产生设计的大概轮廓,现在我们能够得到相关资料的渠道有图书馆的相关书籍和期刊,相关网站提供的免费信息等等,在搜寻这些资料的时候,难免会感觉到很繁琐,而且在查阅资料的盲目阶段,更容易对自己的设计丧失信心,我们只有拿出不怕苦累的精神才能战胜短期的挫折。确定好设计雏形后,我们要做的是开始筛选资料,把我们能用到的资料都筛选出来,再在筛选出来的资料中选择比较权威的资料

43、深入应用到自己的设计中,例如在本设计中选定芯片时,我们应当注意到一些小细节方面的问题,我们选择查询渠道时,最好还是选择利用网络资源来解决这部分的问题,因为网络是当前资源更新速度最快的渠道,选用的一些芯片当然也比较容易买到,如果我们选用查阅书籍等来完成芯片的查询,很有可能会买不到相应的芯片,在本设计中如果我们选用了at89c52的话就会遇到这样的问题,因为at89c52已经停产了,虽然在部分的电子元器件商店还能买到这样的元器件,但是相对于还没有取代器件的stc89c52来说其可实现性就便小了很多。我个人感觉我们专业开设能够应用的专业课程开设得比较晚,当然这些问题是学校的教育体制的问题,我们无力左

44、右,在学习该门课程之前我们只学习过电路分析基础、模拟电子电路、数字电子电路、以及一些相关的实验课程,而且学习的时间也比较少,大部分的时间都被一些普修课程占据,大二才开始学习专业基础课程,迄今能够学习到的专业知识是十分有限的,虽然如此,我们也应当把学到的有限知识学会应用到我们的设计领域,我们学习过模拟电子电路、数字电子电路等课程,我们就应当熟练的掌握简单模块选择和整合,例如我们在选择晶振模块时,我们应当注意到芯片的晶振频率,虽然我们学过ms-c51的晶振是6mhz,但是并不是所有的芯片晶振都是6mhz,甚至还有一些芯片是内置晶振的,更笨就无须提供外部晶振,在本设计中我们选用的是芯片stc89c5

45、2,所以需要提供外部晶振电路,晶振频率为12mhz,而不是6mhz。6.2 实验总结虽然我们现在设计的东西还只是一些很不起眼的东西,但是学习都是有一个过程的,并非一蹴而就、一步登天。我们只有把基础打好,在不懈的摸索中发掘学习的爱好和实践的乐趣。我们所学到的内容和我们在外界接触到的一些知识多少都会存在一些差异,因为知识的学习和应用在本质上本来就存在着很大的区别,俗话说:想到和做到完全是两码事,这也教会了我们在学习的同时,应当做好相应的总结,为更好的实践打下坚实基础。学院开设的课程有限,我们接收到的知识也是有限的,但是我们有无限的能力去学习无限的知识,在设计过程中,我们可以向大四的学长请教我们所遇

46、到的问题,在本次设计中,电路板的印制和程序的编写和烧制都是通过向高年级的学长请教从而解决的,我们在摸索的过程中一定要动用自己的所有力量去学习。面对那些我们还很少接触的元器件以及还没接触过的电路原理,我们都应当摆正心态慢慢的学习,慢慢的摸索,同时,我们还应当注意:在学的过程中我们不能学得太过死板,我们一定要有创新的理念和创新的意识,哪怕只是一点小小的尝试,哪怕连这一点小小的也失败了,我们得到的也是成功。(七)参考文献谭浩强.2005.c程序设计(第三版).北京:清华大学出版社.95-104康华光,陈大钦.2005.电子技术基础(模拟部分).北京:高等教育出版社康华光,邹寿彬.2005.电子技术基

47、础(数字部分).北京:高等教育出版社李广弟,朱月秀.2002.单片机基础. 北京:北京航空航天大学出版社附录一 程序清单/*- 名称:交通灯模拟控制系统 编写: 日期:2011.6 修改:2次 内容:通过定时器进行秒计时控制路口灯的亮灭,并通过数码管显示时间-*/#include<reg52.h> #define uchar unsigned char#define uint unsigned intcode uchar table=0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,0x88,0x83,0xc6,0xa1,0x86,0x8e ; /0-f共阳极uchar num=0,ii=0,jj=0;/*- 延时z ms函数 -*/void delay(uint z) /延时函数 z ms uint x,y; for(x

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论