数电组合逻辑电路设计_第1页
数电组合逻辑电路设计_第2页
数电组合逻辑电路设计_第3页
数电组合逻辑电路设计_第4页
数电组合逻辑电路设计_第5页
已阅读5页,还剩10页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、四川大学电气信息学院数电一一组合逻辑电路设计实现四位二进制无符号数乘法计算学号姓名专业通信工程日期2017.4.29、设计目的设计一个乘法器,实现两个四位二进制数的乘法。两个二进制数分别是被乘数A3A2AA0和乘数B3B2B1B0O被乘数和乘数这两个二进制数分别由高低电平给出。乘法运算的结果即乘积由两个数码管显示。其中显示低位的数码管是十进制的;显示高位的数码管是二进制的,每位高位片的示数都要乘以16再与低位片相加。所得的和即是被乘数和乘数的乘积。做到保持乘积、输出乘积,即认为实验成功,结束运算。二、设计思路将乘法运算分解为加法运算。被乘数循环相加,循环的次数是乘数。加法运算利用双四位二进制加

2、法器74LS283实现,循环次数的控制利用计数器74LS161、数码74LS85比较器实现。运算结果的显示有数码管完成,显示数字的高位(进位信号)由计数器74LS161控制。以54为例。被乘数A3A2A1A0是5,输入0101;乘数B3B2B1B0是4,输入0100.将A3A2AA0输入到加法器的A端,与B端的二进制数相加,输出的和被送入74LS161的置数端(把这个计数器成为“置数器”)。当时钟来临,另一个74LS161(被称之为“计数器”)计1,“置数器”置数,返回到加法器的B端,再与被乘数A3A2A1A0相加当循环相加到第四个时钟的时候,“计数器”计4,这个4在数码比较器74LS85上与

3、乘数8担28&比较,结果是相等,A=B端输出1,经过反相器后变为0返回到被乘数输入电路,截断与门。至此,被乘数变为0000,即便是再循环相加,和也不变。这个和,是多次循环相加的和,就是乘积。高位显示电路较为独立,当加法器产生了进位信号,CA端输出了一个高电平脉冲,经过非门变为下降脉冲驱动74LS161计一次数,这个数可以通过数码管显示出来。但是由于二进制数是满足8421排列的,进位的数是10000,即是10进制数的16。三、仪器设备名称、型号74LS85(一个)74LS161(三个)74LS283(一个)74LS08(两个)非门(两个)数码显示管(两个)四、实验电路图9(LrLL74L

4、S41&D说明:左下角的D1C1B1A伪被乘数的输入端,单刀双掷开关向上是“1”,向下时“0”。左侧的D2c2B2A整乘数的输入端。被乘数经过中间靠右的“加法器低位片”循环相加,相加的结果经过右上方的“置数器”返回到加法器。“置数器”和“计数器”共用一个时钟信号,当“计数器”所计的数与乘数相等的时候,最上方的数码比较器输出“1”,经过非门变为“0”,“0”返回到4双输入与门中将与门封死,输出0000.同样是这个“0”信号,将“计数器”的使能端EP置为“0”,使计数器保持输出,达到稳定输出的目的。当“加法器低位片”有进位时,输出一个上升沿,经过非门后变为下降沿,驱动“进位信号计数器”计数

5、,其结果被“高位显示”显示出来。“高位显示”的示数都要乘以16才可以变为10进制的数。最后的乘积可由两个数码管显示出来。读数方法:“高位显示”字形所对应的十进制数*16+“低位显示”字形所对应的十进制数。计算结束,目的达成。五、仿真分析结果仿真结果1被乘数1000,乘数1000,结果:4*16=64。具体情况请看图中的方框。仿真结果2被乘数0011,乘数1100,结果:2*16+4=36。具体情况请看图中的方框。六、实验结果分析所设计乘法器工作良好、结果与预想情况相同。七、心得体会通过这一段时间的紧张学习,最后完成了我的设计任务一一四位二进制乘法器的设计。通过本次课程设计的学习,我深深的体会到

6、设计课的重要性和目的性所在。本次设计课不仅仅培养了我们实际操作能力,也培养了我们灵活运用课本知识完成工作的能力。乘法器虽然是比较简单的设计课程,但它给了我一个锻炼的机会和检验的机会,也给我复习多个学科知识提供了便利。希望学校以后多安排一些类似的实践环节,让同学们学以致用另:使用硬件描述语言ISE设计乘法器的实验过程图如下11ProjectNavigator一F:'MxxX'yl«j1-cjl.v看EditViewProject?lookWindowLayoutH等Ip21凰加11*: Irrlnoti 忙包 上二 £i同式 kti«th.iVKra

7、l-HierarchyFJ 3jl3 a ir65J¥9«2c5g524亡M才Ijb何lbM 位 ixrt yjl 回IMProcesses yljb5" ISiim SiimulatcjrC) Behaviors Lherlc Syntas睡ISimulhtc Eehcviorcl Model/?cx四一29 fox (1-030 beclzi32 if(iTLbt (l«i) J3.2 begin33 Vezqp-inaKKl;Si Hd35 else3 seczn37 tenrf = 31 h3C-3S =d39 2DeclH40 ror(:-0:

8、3<e:3-5+1)41 reelnT2 if OF43 becmq 丐 ol J -ouc i &cud 111 ;q占 out Li -Dur j "cenr-1":4«47 elae48 匕ugi口物 C1O1 = (DUC3*曰肛叮 U I (OUXI3 60111-11) I (LemD314ClEO out j-suit(3 *E*rqr (:6 :-l ?SI «hg£2 end53 =ES4 &nd555<5 ercmiodnleOLjECtT.口目乂1歪29:W3;3LDIC7SiivuLttoji

9、Objectsforsj1_t1j.三30aoasLi斓uj也3132;fOutputsuifp7:0out;ObjectNameValbeDte15pi533.cUt7,0ICOMOIOAriay3号35/In3Gsncxaitethe3j1U.3(UnztUnderTest(UPJ>.弱in<d5J!)|1101二书mb301010AridyArrayS13<537i_na(ina)finb(inb)f4saDL1&(QLlt)%394口;7*41inimiseainX42/initiahiTeJnrutflina=13;44mb=10-WdF4S46/WiiT:

10、100rsfezglobal工生sr邑匕tofuiishs_t47flDO?南4BCSr49/AddntiiculLu再here30SIend52S3enaroauisS4TISEn白£;,守|SiiteIjtfcCeixterIji.VQ£OeSLi5TiMmndty(SRthu心FiX、x®|gc11。三=-/万名川国仝wtr>闺1MBxObjoetz口5'»,卜SimilaticnOkjtcfsfcrsjl_tk圈醒匾他国琬窟iObjectNameValueDataTyptnr>韦cutf7oi10000111Array>

11、举ina:3©1001Arrayant>福inb(3t)1111Array29reg3;0inb;3031/Outputs,2wire7;0out;3334IIInscanciatetheUnitUnderTest(UUT)35sjluuc(36ma(ina),37inb(inb),38cue(out)39);40xnxcialbogxn42(1initializeinpucs43ina=9;44inb,15;4546/Wait100nsforglobalresec8fini!47103;9849AddscinulushereSO51end52E31*ncbnodule55JK

12、jFileEditViewSimulationWindowLayoutHelpX®|9dIM就,iO3E二二A4?了/皎尸|国伫方|广,皿地酉超FlObjectsr口予X歪SizlGti,nObj“ycjl.tboLiE血西汕DQ_ObjectNomeValueCotaTy夕c>%outp.001910001Array1韦ina5.01LODIArray4韦mb3OJLODIArray4%外e©:a;心4lastsnces守.*(!?XInstorcoandProcoscRrg3:0inb;/Outputswire7:0cue;/InstantiatetheUnitU

13、ndersjluur(.ina(ina),inb(xnb)9.OUu(OUt)Initialbegxn/InlclalxzeInputsIna9;mb-9:/Waie100nsforglobali100;/AaasrinuiusnereendcndmcduleEircsewsmutobon至ndovrL9y2eHC4Pg®KJ'x®-5a*t二.Vencry:zzirztPile:瓦Ixi,gx,g,Processes与Objects12gnelAlr0000004»«II、弟jlvh国,-用殳i'IJlo“j.?IElrfr=£

14、;§<FUnahdora?LM6EpKX®a2*rCJHJ/、,MAH",o二fEl一一-g-ula【三£二;tnQX“nsMnc:2?23,5,CQH9:3Hg,二蜃屈受olzac乏&&0-9«"ngoo”fjMBEf«->wgeo-s54<£704£a.0Ss至H至3至S*Z03的snbwo一<1±4415£mIBs5E:仿真分析结果:济|声万0,W二工L->%?iT9司ParserMessages匡Synthesis口Translat

15、ionMessagesjWapMessagesPlaceandRoute?Messages-UTimingMessagesPlBitgen国AllImplementationMes&a.DetailedReportsSynthesisReportTranslationReportMapReportPlaceandReLiteReportRcrst-PARStaticTimingRepPowderReportBitgenReportSeccndaryReport?SynthesisReportTopofReportSynthesisOptionsSummaryHDLParsingHDLE

16、laberatiart三HDLSynthesisHDLSynthesisReport;-lAdvancedHDLSynthesitRelcaae13上-xse0.61Kd(ntEa)Copyright(c)1995-2011Xilinx,Inc.AllzigDta->EarameterTMFDIRsettoX3t/piojnav*t;inpTotalREALtirretoXscccmpletion:5.0。secs工匚母1CPUcinetoXslcompletion:4.82secs->ParameterxschdpdlrsectoxsrTocalREALtirr.etaXateerr.pleciorL!5.00scsTotal二1UtnjretoXstcnnletioni4.S3secaReadingdesign:3j1jTA5L5OFCeHTENTS1)SynthesisCpti口门目S'jr

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论