数字电路实验ppt课件_第1页
数字电路实验ppt课件_第2页
数字电路实验ppt课件_第3页
数字电路实验ppt课件_第4页
数字电路实验ppt课件_第5页
已阅读5页,还剩28页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、胡豪杰2019.3特性符号/单位74LS74ALS74HC74HCT输出低电平驱动门电路输出电压VoL(max)/V 0.50.40.10.1输入电压ViL(max)/V 0.80.81.00.8输出高电平驱动门电路输出电压VoH(min)/V 2.72.74.94.9输入电压ViH(min)/V 2.02.03.52.0输入电流I IiH(max)/mA0.020.020.0010.001I IiL(max)/ mA0.40.10.0010.001输出电流I IoH(max)/ mA0.40.444I IoL(max)/ mA884474LS芯片在负载少时,实践输出高电平的电压是3.6V,可

2、以直接驱动74HC芯片,但负载高和频率高时会出错。电源指示电源指示电源线接法电源输出单脉冲源电平指示电平输入各种引脚数的集成块插座数码显示延续脉冲源电源输入插分立元件插分立元件接地输出各档固定延续脉冲,1k10kHz频率可调延续脉冲。电平(数据)开关电平指示单脉冲源分正、负单脉冲。找到集成块找到集成块74HC20或或74LS20,其引脚图如左图。缺口或标志第其引脚图如左图。缺口或标志第一脚的小点朝左,那么左下方是一脚的小点朝左,那么左下方是第第1脚,引脚号逆时针数。脚,引脚号逆时针数。第1脚第7脚第8脚第14脚导线插孔和集成块座导线插孔和集成块座集成块插座与导线插座号码一致那么相通。集成块插座

3、与导线插座号码一致那么相通。1号脚导线插孔和集成块引脚导线插孔和集成块引脚集成块引脚数与集成块插座一致时,引脚号导线插座号码一致那么相通集成块引脚数与集成块插座一致时,引脚号导线插座号码一致那么相通1号脚7号脚导线插孔和集成块引脚导线插孔和集成块引脚集成块引脚数与集成块插座一致时,引脚号导线插座号码不一致。集成块引脚数与集成块插座一致时,引脚号导线插座号码不一致。1号脚7号脚8号脚插座的8、9号脚未运用第一步第一步找到找到74LS20或或74HC20集成块集成块第二步第二步接上集成块的电源,如接上集成块的电源,如用到多个集成块,每块用到多个集成块,每块集成块都要接上电源。集成块都要接上电源。留

4、意:电源包括留意:电源包括5V和和“地,缺一不可。地,缺一不可。第三步第三步接输入接输入A:引脚引脚1连线到连线到电平开关电平开关A。&第四步第四步接输入接输入B:引脚引脚2连线连线到电平开到电平开关关B。&第五步第五步接输入接输入C、D:引脚引脚4连线到电平连线到电平开关开关C。引脚引脚5连线到电平连线到电平开关开关D。引脚引脚3为空脚。为空脚。&第六步第六步接输出接输出Y:引脚引脚6连线到电平指示。连线到电平指示。在实验箱内部已接好相在实验箱内部已接好相关电路,我们只需接到关电路,我们只需接到电平指示的输入即可。电平指示的输入即可。&第七步第七步1、翻开电源、翻开电源2、根据表、根据表1-

5、1的输的输入值,拨动电平开入值,拨动电平开关,察看电平指示关,察看电平指示灯,并记录。灯,并记录。3、在输出端丈量不、在输出端丈量不同输出电平的电压,同输出电平的电压,并记录。并记录。&输入端输出端Y输入端输出端YA B C D电压(V)逻辑状态A B C D电压(V)逻辑状态0 0 0 00 1 0 10 0 0 10 1 1 00 0 1 01 0 0 10 0 1 11 1 1 00 1 0 01 1 1 1第七步第七步4、根据表、根据表1-1的输入值,的输入值,拨动电平开关,察看电平拨动电平开关,察看电平指示灯,并记录。指示灯,并记录。5、在输出端丈量不同输、在输出端丈量不同输出电平的

6、电压,并记录。出电平的电压,并记录。第八步第八步分析测试数据,得出结论。分析测试数据,得出结论。操作步骤操作步骤找到找到7400集成块。集成块。接好电源。接好电源。接接S输入输入空闲输入引脚接空闲输入引脚接1。第第1门电路输出接第门电路输出接第2门电路的输入。门电路的输入。接5V得到逻辑1,经过串接减少引线长度。输出引脚直接接通到输入引脚。&操作步骤操作步骤接接1Hz脉冲输入。脉冲输入。接输出到电平指示。接输出到电平指示。检查电路。检查电路。翻开电源。翻开电源。S开关置开关置 0 时察看输出。时察看输出。S开关置开关置 1 时察看输出。时察看输出。&操作步骤操作步骤S开关置开关置 1 时察看输

7、出。时察看输出。&操作步骤操作步骤为了便于察看脉冲输入为了便于察看脉冲输入和输出之间的同步和输出之间的同步关系,可以将原来关系,可以将原来接脉冲输入的导线接脉冲输入的导线接到开关,用开关接到开关,用开关手动产生脉冲。手动产生脉冲。领会领会S开关所起的作用。开关所起的作用。根据指点书的问题,改根据指点书的问题,改动电路,验证本人动电路,验证本人设计的电路。设计的电路。&13112345131211109812362脚接脚接+5Vl 找到内有2输入与非门的7400集成块,每块集成块内部有4个与非门,共需2片。l 原理图中的门电路与集成块内的门电路建立对应关系。l 根据原理图和集成块引脚图画出接线图

8、。可以在原理图上标上引脚号作为简易接线图。l 先接每块集成块的电源。l 按图从输入到输出、从左到右接线。l 根据输入的不同组合测试电路的逻辑功能。324211+5V4ZYBA&用2输入与非门74LS00或74HC00集成块组成非门。写出转换公式:Y=画出如下原理图:A =AA =1ABA用2输入与非门组成或门转换公式如下:Y=A+B=画出原理图,接线验证,填写真值表,分析结果。 =BA 输 入 输出 A BY 0 0 0 1 1 0 1 1表1-3 用与非门组成 门&l两个输入能否一样(00或11),直接运用异或门。l多个电平输入中只需有一个电平有效时就输出一个指示的方法。l1、多个输入正常为

9、高电平,只需有一个是低电平常就输出一个指示,运用与门。l2、多个输入正常为低电平,只需有一个是高电平常就输出一个指示,运用或门。&00XXX111XXX7486中有4个异或门,异或门的非是通或门。7404中有6个非门。7432中有4个或门。二输入或门扩展:Y=(A+B)+(C+D) =A+B+C+D设计提示:设计提示:&t!pYmVjRgOcL9I6E3B0y(v%r#oXlTiQfNbK8G5D2A-x*u$qZnVkShPdMaJ7F4C0z)w&s!pYmUjRfOcL9H6E3B+y(v%r#oWlTiQeNbK8G5D1A-x*t$qZnVkSgPdMaI7F4C0z)v&s!pXm

10、UjRfOcK9H6E2B+y(u%rZoWlThQeNbJ8G4D1A-w*t$qYnVkSgPdLaI7F3C0z)v&s#pXmUiRfOcK9H5E2B+x(u%rZoWkThQeMbJ8G4D1z-w*t!qYnVjSgOdLaI6F3C0y)v%s#pXlUiRfNcK9H5E2A+x(u$rZoWkThPeMbJ7G4D1z-w&t!qYmVjSgOdL9I6F3B0y)v%s#oXlUiQfNcK8H5D2A+x*u$rZnWkShPeMaJ7G4C1z)w&t!pYmVjRgOdL9I6E3B0y(v%s#oXlTiQfNbK8H5D2A-x*u$qZnWkShPdMaJ7F

11、4C1z)w&s!pYmUjRgOcL9H6E3B+y(v%r#oWlTiQeNbK8G5D2A-x*t$qZnVkShPdMaI7F4C0z)w&s!pXmUjRfOcL9H6E2B+y(u%r#oWlThQeNbJ8G5D1A-w*t$qYnVkSgPdLaI7F3C0z)v&s#pXmUiRfOcK9H6E2B+x(u%rZoWlThQeMbJ8G4D1A-w*t!qYnVjSgPdLaI6F3C0y)v&s#pXlUiRfNcK9H5E2A+x(u$rZoWkThPeMbJ7G4D1z-w*t!qYmVjSgOdLaI6F3B0y)v%s#pXlUiQfNcK8H5E2A+x*u$rZ

12、nWkThPeMaJ7G4C1z-w&t!pYmVjRgOdL9I6E3B0y(v%s#oXlUiQfNbK8H5D2A+x*u$qZnWkShPeMaJ7F4C1z)w&t!pYmUjRgOcL9I6E3B+y(v%r#oXlTiQeNbK8G5D2A-x*t$qZnVkShPdMaI7F4C0z)w&s!pYmUjRfOcL9H6E3B+y(u%r#oWlTiQeNbJ8G5D1A-x*t$qYnVkSgPdMaI7F3C0z)v&s!pXmUiRfOcK9H6E2B+x(u%rZoWlThQeNbJ8G4D1A-w*t$qYnVjSgPdLaI7F3C0y)v&s#pXmUiRfNcK9

13、H5E2B+x(u$rZoWkThQeMbJ7G4D1z-w*t!qYmVjSgOdLaI6F3C0y)v%s#pXlUiRfNcK8H5E2A+x(u$rZnWkThPeMbJ7G4C1z-w&t!qYmVjRgOdL9I6F3B0y(v%s#ThQeMbJ7G4D1z-w*t!qYnVjSgOdLaI6F3C0y)v%s#pXlUiRfNcK8H5E2A+x(u$rZnWkThPeMbJ7G4C1z-w&t!qYmVjRgOdL9I6F3B0y(v%s#oXlUiQfNcK8H5D2A+x*u$rZnWkShPeMaJ7G4C1z)w&t!pYmVjRgOcL9I6E3B0y(v%r#oX

14、lTiQfNbK8G5D2A-x*u$qZnVkShPdMaJ7F4C0z)w&s!pYmUjRgOcL9H6E3B+y(v%r#oWlTiQeNbK8G5D1A-x*t$qZnVkSgPdMaI7F4C0z)v&s!pXmUjRfOcK9H6E2B+y(u%rZoWlThQeNbJ8G5D1A-w*t$qYnVkSgPdLaI7F3C0z)v&s#pXmUiRfOcK9H5E2B+x(u%rZoWkThQeMbJ8G4D1z-w*t!qYnVjSgOdLaI6F3C0y)v%s#pXlUiRfNcK9H5E2A+x(u$rZoWkThPeMbJ7G4D1z-w&t!qYmVjSgOdL9I6

15、F3B0y)v%s#oXlUiQfNcK8H5D2A+x*u$rZnWkShPeMaJ7G4C1z-w&t!pYmVjRgOdL9I6E3B0y(v%s#oXlTiQfNbK8H5D2A-x*u$qZnWkShPdMaJ7F4C1z)w&s!pYmUjRgOcL9H6E3B+y(v%r#oXlTiQeNbK8G5D2A-x*t$qZnVkShPdMaI7F4C0z)w&s!pXmUjRfOcL9H6E2B+y(u%r#oWlThQeNbJ8G5D1A-w*t$qYnVkSgPdLaI7F3C0z)v&s!pXmUiRfOcK9H6E2B+x(u%rZoWlThQeMbJ8G4D1A-w*t!q

16、YnVjSgPdLaI6F3C0y)v&s#pXlUiRfNcK9H5E2A+x(u$rZoWkThQeMbJ7G4D1z-w*t!qYmVjSgOdLaI6F3B0y)v%s#pXlUiQfNcK8H5E2A+x*u$rZnWkThPeMaJ7G4C1z-w&t!pYmVjRgOdL9I6F3B0y(v%s#oXlUiQfNbK8H5D2A+x*u$qZnWkShPeMaF3B0y)v%s#pXlUiQfNcK8H5E2A+x*u$rZnWkThPeMaJ7G4C1z-w&t!qYmVjRgOdL9I6F3B0y(v%s#oXlUiQfNbK8H5D2A+x*u$qZnWkShPeMaJ7F

17、4C1z)w&t!pYmUjRgOcL9I6E3B+y(v%r#oXlTiQfNbK8G5D2A-x*u$qZnVkShPdMaJ7F4C0z)w&s!pYmUjRfOcL9H6E3B+y(u%r#oWlTiQeNbJ8G5D1A-x*t$qYnVkSgPdMaI7F3C0z)v&s!pXmUjRfOcK9H6E2B+y(u%rZoWlThQeNbJ8G4D1A-w*t$qYnVjSgPdLaI7F3C0y)v&s#pXmUiRfNcK9H5E2B+x(u$rZoWkThQeMbJ8G4D1z-w*t!qYnVjSgOdLaI6F3C0y)v%s#pXlUiRfNcK8H5E2A+x(u$rZ

18、nWkThPeMbJ7G4C1z-w&t!qYmVjRgOdL9I6F3B0y)v%s#oXlUiQfNcK8H5D2A+x*u$rZnWkShPeMaJ7G4C1z)w&t!pYmVjRgOcL9I6E3B0y(v%r#oXlTiQfNbK8G5D2A-x*u$qZnVkSL9I6F3B0y)v%s#oXlUiQfNcK8H5D2A+x*u$rZnWkShPeMaJ7G4C1z)w&t!pYmVjRgOcL9I6E3B0y(v%r#oXlTiQfNbK8H5D2A-x*u$qZnWkShPdMaJ7F4C1z)w&s!pYmUjRgOcL9H6E3B+y(v%r#oWlTiQeNbK8G5D

19、1A-x*t$qZnVkSgPdMaI7F4C0z)v&s!pXmUjRfOcL9H6E2B+y(u%r#oWlThQeNbJ8G5D1A-w*t$qYnVkSgPdLaI7F3C0z)v&s#pXmUiRfOcK9H5E2B+x(u%rZoWkThQeMbJ8G4D1A-w*t!qYnVjSgPdLaI6F3C0y)v&s#pXlUiRfNcK9H5E2A+x(u$rZoWkThPeMbJ7G4D1z-w&t!qYmVjSgOdL9I6F3B0y)v%s#oXlUiQfNcK8H5E2A+x*u$rZnWkThPeMaJ7G4C1z-w&t!pYmVjRgOdL9I6E3B0y(v%s#oX

20、lTiQfNbK8H5D2A-x*u$qZnWkShPdMaJ7F4C1z)w&t!pYmUjRgOcL9I6E3B+y(v%r#oXlTiQeNbK8G5D2A-x*t$qZnVkShPdMaI7F4C0z)w&s!pXmUjRfOcL9H6E2B+y(u%r#oWlTiQeNbJ8G5D1A-x*t$qYnVkSgPdMaI7F3C0z)v&s!pXmUiRfOcK9H6E2B+x$qZnVkShPdMaI7F4C0z)w&s!pXmUjRfOcL9H6E3B+y(u%r#oWlTiQeNbJ8G5D1A-x*t$qYnVkSgPdMaI7F3C0z)v&s!pXmUiRfOcK9H6E2

21、B+x(u%rZoWlThQeMbJ8G4D1A-w*t$qYnVjSgPdLaI7F3C0y)v&s#pXmUiRfNcK9H5E2B+x(u$rZoWkThQeMbJ7G4D1z-w*t!qYmVjSgOdLaI6F3B0y)v%s#pXlUiQfNcK8H5E2A+x(u$rZnWkThPeMbJ7G4C1z-w&t!qYmVjRgOdL9I6F3B0y(v%s#oXlUiQfNbK8H5D2A+x*u$qZnWkShPeMaJ7F4C1z)w&t!pYmVjRgOcL9I6E3B0y(v%r#oXlTiQfNbK8G5D2A-x*u$qZnVkShPdMaJ7F4C0z)w&s!pYm

22、UjRfOcL9H6E3B+y(u%r#oWlTiQeNbK8G5D1A-x*t$qZnVkSgPdMaI7F4C0z)v&s!pXmUjRfOcK9H6E2B+y(u%rZoWlThQeNbJ8G4D1A-w*t$qYnVjSgPdLaI7F3C0y)v&s#pXmUiRfOcK9H5E-x*t$qZnVkSgPdMaI7F4C0z)v&s!pXmUjRfOcK9H6E2B+y(u%rZoWlThQeNbJ8G4D1A-w*t$qYnVkSgPdLaI7F3C0z)v&s#pXmUiRfOcK9H5E2B+x(u%rZoWkThQeMbJ8G4D1z-w*t!qYnVjSgOdLaI6F3C0y)v%s#pXlUiRfNcK8H5E2A+x(u$rZoWkThPeMbJ7G4D1z-w&t!qYmVjSgOdL9I6F3B0y)v%s#oXlUiQfNcK8H5D2A+x*u$rZnWkShPeMaJ7G4C1z)w&t!pYmVjRgOdL9I6E3B0y(v%s#oXlTiQfNbK8H5D2A-x*u$qZnWkShPdMaJ7F4C1z)w&s!pYmUjRgOcL9H6E3B+y(

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论