电子行业晶圆代工系列(一):制造业的桂冠制程追赶者的黎明_第1页
电子行业晶圆代工系列(一):制造业的桂冠制程追赶者的黎明_第2页
电子行业晶圆代工系列(一):制造业的桂冠制程追赶者的黎明_第3页
电子行业晶圆代工系列(一):制造业的桂冠制程追赶者的黎明_第4页
电子行业晶圆代工系列(一):制造业的桂冠制程追赶者的黎明_第5页
已阅读5页,还剩47页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、内容目录 HYPERLINK l _TOC_250018 市场空间:先进制程比重不断提升 5 HYPERLINK l _TOC_250017 晶圆代工市场保持增长,先进制程占比在提升 5 HYPERLINK l _TOC_250016 半导体硅含量持续提升,12 寸硅晶圆保持快速增长 9 HYPERLINK l _TOC_250015 摩尔定律:先进制程成为晶圆制造的分水岭 11 HYPERLINK l _TOC_250014 摩尔定律没有失效,但资本壁垒迅速提升 11 HYPERLINK l _TOC_250013 晶圆制造行业技术复杂度不断提升 16 HYPERLINK l _TOC_25

2、0012 护城河加深,行业高壁垒、高集中、少进入者 18 HYPERLINK l _TOC_250011 半导体需求三驾马车共振,国产替代迎来机遇 22 HYPERLINK l _TOC_250010 数据中心:数据中心回暖,受益于 5G 持续发展 23 HYPERLINK l _TOC_250009 手机:5G 放量“前夜”,单机硅含量提升 27 HYPERLINK l _TOC_250008 通讯:5G 基站建设进入放量期 31 HYPERLINK l _TOC_250007 国产替代:历史性机遇开启,晶圆代工订单转移 33 HYPERLINK l _TOC_250006 行业近况:景气上

3、行,新一轮资本开支启动 34 HYPERLINK l _TOC_250005 台积电:全球晶圆代工龙头厂商,增加资本开支推进先进制程 34 HYPERLINK l _TOC_250004 中芯国际:先进制程追赶加速,14nm 进展超预期 38 HYPERLINK l _TOC_250003 华虹半导体:8 寸晶圆高度景气 43 HYPERLINK l _TOC_250002 联电:产能利用率提升,资本开支增加 46 HYPERLINK l _TOC_250001 财报分析:战略选择与投资回报率,追赶者的黎明 48 HYPERLINK l _TOC_250000 风险提示 53图表目录图表 1:

4、晶圆代工市场占半导体市场约 15% 5图表 2:晶圆代工创造半导体行业分工模式 5图表 3:IC 设计厂与 IDM 的半导体业务收入(十亿美元) 6图表 4:全球晶圆代工行业收入(亿美元) 6图表 5:全球晶圆代工行业产能(等价 8 寸片;千片) 7图表 6:2019 年全球晶圆代工行业收入分布 7图表 7:2019 年全球晶圆代工行业产能分布 7图表 8:先进制程占比不断提高 8图表 9:全球晶圆代工区域占比(20192023 年为预测数据) 8图表 10:中国大陆集成电路市场规模(亿元) 9图表 11:中国大陆集成电路市场结构(亿元) 9图表 12:半导体市场规模 9图表 13:全球硅片需

5、求预测 10图表 14:全球 12 寸硅片供需预测(千片/月) 10图表 15:全球 12 寸硅片需求侧拆分(千片/月) 11图表 16:制程升级放缓 11图表 17:IMEC 半导体技术蓝图已经规划到 1nm 12图表 18:过去十年半导体性能提升速度 12图表 19:未来十年半导体性能提升速度 13图表 20:250mm Die Siz 的成本倍数迅速提升 13图表 21:CPU/GPU 芯片Die Size 呈现上升趋势 13图表 22:苹果手机处理器制程及尺寸 14图表 23:2019 年单片晶圆价格预估(等价 8 寸片计价,美元) 14图表 24:设计成本:先进IC 设计成本快速增加

6、 14图表 25:投资金额:100K 产能对应投资额要求(亿美元) 15图表 26:工艺成本:7nm 之后单位芯片工艺成本每代增加 30% 15图表 27:台积电不同制程对应应用(2019-06) 15图表 28:新产品从成熟制程往先进制程迁移 15图表 29:7nm 及以下先进制程应用:智能手机、HPC、AIoT 16图表 30:ASML 预测半导体制程升级规划 16图表 31:先进制程设备端布局 17图表 32:晶体管结构变化 17图表 33:下一代晶体管结构 17图表 34:台积电先进封装技术一览 18图表 35:台积电布局 3D integration 封装技术 18图表 36: 三星

7、布局先进封装技术 18图表 37:台积电、三星、英特尔均是堆叠封装技术的主要参与者 18图表 38:晶圆厂制程升级规划 19图表 39:晶圆代工行业前十名收入(百万美元) 19图表 40:先进制程产能分布 20图表 41:不同制程节点晶体管密度(标准化工艺节点以intel 10nm 为参考节点) 20图表 42:台积电制程升级路径 21图表 43:台积电历代制程PPA(power、performance、Are reduction)环比提升幅度 21图表 44:19872019 英特尔制程升级路径(纵坐标为 nm 数) 21图表 45:英特尔未来制程升级规划 21图表 46:英特尔服务CPU

8、产品路线 22图表 47:三星电子晶圆代工制程发展路径 22图表 48:全球服务器年出货量统计 23图表 49:IDC 服务器装机量增长趋势(千台) 24图表 50:云计算资本开支金额(百万USD) 24图表 51:全球服务器自 2019 年后的出货量预测(万台) 24图表 52:中国X86 服务器出货量及预测 25图表 53:中国X86 服务器市场规模 25图表 54:数据中心的新 SSD 储存需求(ZB/年) 25图表 55:数据中心对 300mm 硅片的需求(千片每月) 25图表 56:不同类型服务器出货量预测(万台) 26图表 57:服务器合计出货量预测(万台) 26图表 58:全球服

9、务器用DRAM、CPU、GPU 测算 26图表 59:全球智能手机出货量(百万台) 27图表 60:全球智能手机按品牌出货量(百万台) 28图表 61:5G 芯片备货量(百万颗) 28图表 62:移动通讯技术的变革路线图 29图表 63:全球射频前端市场规模预测(亿美元) 29图表 64:全球手机摄像头模组消费量(亿颗) 30图表 65:国内手机摄像头模组产量(亿颗) 30图表 66:6P 镜头渗透率 30图表 67:中国手机厂商像素升级过程 30图表 68:5G 手机规格升级 31图表 69:5G 手机升级带来硅含量提升 31图表 70:宏基站年建设数量预测 31图表 71:4G 与 5G

10、基站区别对比 32图表 72:国内四大运营商 5G 商用推动情况 32图表 73:国产替代空间测算 33图表 74:华为替代链示意图 34图表 75:4Q19 综合损益表 35图表 76:台积电营收及增长率 35图表 77:台积电净利润及增长率 36图表 78:台积电资本性支出(亿美元) 36图表 79:台积电二十年复盘图 37图表 80:台积电工艺平台 37图表 81:公司发展阶段 38图表 82:中芯国际制程应用分布(棕色表示中芯国际量产制程,截止于 2017.08) 39图表 83:中芯国际与台积电量产制程代际差 40图表 84: “大基金一期”参与的中芯国际投资与合作 40图表 85:

11、中芯国际现有产能(产能单位为万片/月) 41图表 86:中芯国际季度收入(百万美元) 42图表 87:中芯国际季度产能利用率和单价(美元) 42图表 88:中芯国际季度晶圆出货量(万片,等效八寸片) 42图表 89:中芯国际季度收入按应用划分 42图表 90:中芯国际季度收入按区域划分 43图表 91:中芯国际季度收入按制程划分 43图表 92:华虹半导体发展历程 43图表 93:华虹半导体技术平台 43图表 94:公司技术平台&各产品应用领域技 44图表 95:华虹半导体营业收入(千美元) 45图表 96:华虹半导体出货量(千片,等价八寸片)及单价(美元) 45图表 97:华虹半导体产能利用

12、率 46图表 98:华虹半导体收入按制程划分 46图表 99:华虹半导体收入按下游应用划分 46图表 100:华虹半导体收入按区域分化 46图表 101:联电晶圆厂季度产能(千片) 47图表 102:联电季度收入及单价 47图表 103:联电季度出货量及产能利用率 47图表 104:联电季度收入按制程划分 48图表 105:联电季度收入按下游应用划分 48图表 106:晶圆代工企业产能利用率预估 48图表 107:晶圆代工企业资本开支 49图表 108:晶圆代工企业出货量(千片,等价 12 寸片) 49图表 109:晶圆代工企业均价(美元,等价 12 寸片) 50图表 110:晶圆代工企业营业

13、收入(百万美元) 50图表 111:晶圆代工企业毛利率 51图表 112:晶圆代工企业折旧占营业成本比重 51图表 113:晶圆代工企业毛利率 52图表 114:晶圆代工企业ROE 52市场空间:先进制程比重不断提升晶圆代工市场保持增长,先进制程占比在提升根据gartner 预测,2019 年全球晶圆代工市场约627 亿美元,占全球半导体市场约15%。预计 20182023 年晶圆代工市场复合增速为 4.9%。图表 1:晶圆代工市场占半导体市场约 15%资料来源:gartner、 开创专业分工模式,晶圆代工厂在半导体产业链中越来越重要。台积电开创了晶圆代工+IC 设计的模式。随着半导体制造规模

14、效应的凸显,以及技术和资金壁垒的提升,IDM模式下的厂商扩张难度加大,沉没成本提高。目前垂直分工模式成为了行业的发展趋势,半导体新进入者大多采用 Fabless 模式,同时有更多的 IDM 公司如 AMD、NXP、TI等都将走向 Fabless 或 Fablite 模式。图表 2:晶圆代工创造半导体行业分工模式资料来源:台积电官网、 在晶圆代工的支持下,IC 设计厂迅速崛起。根据 IC Insight 数据,20092019 年 IC 设计行业的收入复合增速为 8%,IDM 行业的收入复合增速为 5%。IC 设计的繁荣兴起与先进制程的资本、技术密度提升,使得以台积电为代表的晶圆代工厂(Foun

15、dry)在半导体产业链中扮演越来越重要的角色。图表 3:IC 设计厂与 IDM 的半导体业务收入(十亿美元)资料来源:IC insight、 2020 年晶圆代工市场重返增长,0.016micron、0.032micron 为当前收入占比最高的节点。根据 Gartner,2019 年全球晶圆代工收入 627 亿美元,增速为-0.2%。预计 2020年增速回到 8%。结构上,收入贡献最大的为 0.016micron(12/14/16nm),达到 97 亿美元;其次为 0.032micron(22/28/32nm),达到 86 亿美元。10nm 预计 26 亿美元,7nm 预计 85 亿美元。台积

16、电 2019 年收入为 346 亿美元,占比达 55%。图表 4:全球晶圆代工行业收入(亿美元)资料来源:gartner、 根据 Gartner,从产能分布角度而言,2019 年全球晶圆代工等效 8 寸片年产能为 7838万片,其中 0.18micro 达到 1363 万片,其次 65nm 达到 982 万片,45nm 达到 882 万片,32nm 达到 80 万片。根据台积电财报,台积电 2019 年等效 8 寸片产能超过 2700万片,占比约 34%。根据拓璞产业研究,2019 年,28nm 以下制程的营收在前五大厂商(台积电、三星、格芯、联电、中芯国际)在的合计营收中占比约 44%。图表

17、 5:全球晶圆代工行业产能(等价 8 寸片;千片)资料来源:gartner、 图表 6:2019 年全球晶圆代工行业收入分布图表 7:2019 年全球晶圆代工行业产能分布资料来源:gartner、 资料来源:gartner、 先进制程比重快速提升。根据 ASML 在 2018 年底的预测,先进制程的占比会迅速提高,其中部分现有制程的产线通过设备升级成先进制程产线。ASML 预测 2025 年 12 寸晶圆的先进制程占比会达到 2/3。图表 8:先进制程占比不断提高资料来源:ASML 官网、 全球晶圆代工市场以晶圆厂所在地划分,全球晶圆代工前三大区域分别为中国台湾、中国大陆、韩国。台湾占比达到

18、66%左右,并在先进制程导入和新型产业趋势下引领行业发展。大陆处于追赶角色,比重正在持续提升,从 2017 年的 9.0%提升至 2023 年的12.9%。韩国三星持续加大投资,因此韩国的份额也保持略有增长。图表 9:全球晶圆代工区域占比(20192023 年为预测数据)资料来源:gartner、 2019 年中国大陆晶圆代工市场约 2149 亿元,大陆集成电路向“大设计-中制造-中封测”转型,大陆的设计、制造将起航。2018 年中国大陆集成电路产业继续保持快速增长,规模达到 6531.4 亿元,同比增长 20.7%,预计到 2020 年突破 9000 亿。中国大陆集成电路产业结构将继续由“小

19、设计-小制造-大封测”向“大设计-中制造-中封测”转型,产业链逐渐从低端向高端延伸,产业结构更趋于合理。图表 10:中国大陆集成电路市场规模(亿元)图表 11:中国大陆集成电路市场结构(亿元)资料来源:赛迪顾问、 资料来源:赛迪顾问、 半导体硅含量持续提升,12 寸硅晶圆保持快速增长长期维度下电子化趋势推进,硅含量不断提升。半导体硅含量代表电子系统中半导体集成电路芯片总价值占电子系统价值的百分比,可用来衡量半导体的渗透率。如果从下游需求分析,硅含量就是下游需求中半导体芯片的渗透率。从长期的维度上来看,电子化是不断推进的趋势,而各类电子产品中的半导体含量过去 20 年来都在不断上升,简称 “硅含

20、量”提升图表 12:半导体市场规模资料来源:WTST、 硅片/硅晶圆是制造芯片的核心基础材料,高纯度要求下工序流程复杂、设备参数要求高。Raw wafer 在整体成本中的占比并不高(不到 10%,芯片制程越先进占比越小),但是,硅晶圆作为芯片制造的基础核心材料能够从量上直接观测行业芯片的产出、先进制程升级的节奏。硅片/晶圆供给的主要增长来自于 12 寸(300mm),8 寸片以存量产能为主。根据硅片龙头 Sumco 在 2019Q3 的指引,20182022 年 12 寸硅片需求数量复合增长率预期为4.1%;12 寸硅片供给数量复合增长率预期为 3.9%,供给增速低于需求增速。从需求侧分拆,硅

21、片几大需求包括Nand、Dram、Logic 和其他。图表 13:全球硅片需求预测资料来源:sumco 官网、 图表 14:全球 12 寸硅片供需预测(千片/月)资料来源:sumco 官网、 图表 15:全球 12 寸硅片需求侧拆分(千片/月)资料来源:sumco 官网、 摩尔定律:先进制程成为晶圆制造的分水岭摩尔定律没有失效,但资本壁垒迅速提升摩尔定律:当价格不变时,集成电路上可容纳的元器件的数目,约每隔 1824 个月便会增加一倍,性能也将提升一倍。英伟达的黄仁勋认为严格意义上的摩尔定律已经失效,IMEC(比利时微电子研究中心)、ASML 等机构为半导体产业规划的蓝图里摩尔定律持续演进。摩

22、尔定律没有失效,但是制程之外的设计与工艺扮演越来越重要的角色,同时资本密集度的迅速提升使得行业壁垒发生变化。图表 16:制程升级放缓资料来源:AMD 官网、 图表 17:IMEC 半导体技术蓝图已经规划到 1nm资料来源:IMEC 官网、 摩尔定律推进,但制程提升贡献比例在下降。根据 AMD 数据,过去十年制程升级带来更高性能、更低功耗,制程升级为半导体性能提升贡献 40%。根据 ASML 预测,20182028年的未来十年半导体性能提升进一步加速,制程提升的贡献为 30%左右,剩下增长来自于诸如 3D Stacking、多核架构、内存整合、软件系统、电源管理等多方面的升级。因此,在未来的芯片

23、性能提升中,架构、系统、软件将扮演越来越重要的角色。图表 18:过去十年半导体性能提升速度资料来源:AMD 官网、 图表 19:未来十年半导体性能提升速度资料来源:ASML 官网、 晶圆制造行业发展趋势面临 Die Size 限制和成本限制。过去十年,CPU 及 GPU 的 Die Size 呈现上升趋势,但受制于 12 寸晶圆产线,Die Size 的增长是不可持续的。同时,相同 Die Size 的晶圆产线,单位面积成本也不断攀升,5nm 的单位面积成本是 45nm 的 5倍。图表 20:250mm Die Siz 的成本倍数迅速提升资料来源:AMD 官网、 图表 21:CPU/GPU 芯

24、片 Die Size 呈现上升趋势资料来源:AMD 官网、 图表 22:苹果手机处理器制程及尺寸2010201120122013201420152016201720182019A13 BionicA12BionicA11BionicA10FusionA9A8A7A6A5A4处 理器三星三星三星三星台积电台积电台积电台积电台积电厂积电制程45nm45nm32nm(HKMG28nm(HKMG20nm(HKMG14/16n16nm(Fi10nm(Fi7nm(Finm(FinFEnFET+)nFET)FET)7nm N7P)T)6 ( 64-6 ( 64-代 工三星/ 台CPU2 ( 64-Coreb

25、it)bit)bit)bit)bit)neuralengine)neuralengine)GPU 12344663441222 ( 64-2 ( 64-4 ( 64-6 ( 64-bit;bit;Core尺 寸 (mm)5312296.7102.98996/104.512587.78098.48资料来源:苹果官网、 先进制程的持续升级带来巨额的成本。根据 IBS,3nm 芯片的设计费用约 515 亿美元,工艺开发费用约 4050 亿美元,兴建一条 3nm 产线的成本约 150200 亿美元。3nm 芯片仅比 5nm 芯片提升 15%性能、降低 25%功耗。根据 IMEC 论文,7nm 以后,每

26、一代升级单个晶圆的工艺成本(Process Cost)提升幅度达到 30%。同样面积的硅晶圆,即使通过微缩增加了晶体管的数量,生产成本也会相应增加。图表 23:2019 年单片晶圆价格预估(等价 8 寸片计价,美元)图表 24:设计成本:先进 IC 设计成本快速增加资料来源:gartner、 资料来源:IBS、 图表 25:投资金额:100K 产能对应投资额要求(亿美元)图表 26:工艺成本:7nm 之后单位芯片工艺成本每代增加 30%资料来源:gartner、 资料来源:IMEC 官网、 资金、技术壁垒提升,先进制程的供给端向寡头垄断发展,先进制程供不应求。创新推动先进制程需求。根据台积电的

27、产品组合,最先进制程主要是为 logic 和部分 RF提供,主流的成熟制程能覆盖大部分其他应用领域。随着创新不断升级,5G、AI、物联网等需求提升,创新导入时使用的制程工艺从成熟向先进工艺升级。供给受限于有限的产能。目前先进制程的供给端只有台积电、三星、英特尔。英特尔为 IDM,自家消费级 10nm 产品产能不足、市场缺货。受益于 5G、智能手机、HPC、AIoT等需求,7nm 及以下先进制程需求旺盛。台积电为先进制程的核心晶圆代工厂,目前10nm 工艺客户已经超过 10 家,7nm EUV 客户至少 5 家(苹果、海思、高通、三星、 AMD),6nm 客户除了 7nm EUV 的 5 家还多

28、了博通、联发科。台积电 7nm 产品持续满产,多个客户争抢产能,由台积电进行产能配置。图表 27:台积电不同制程对应应用(2019-06)图表 28:新产品从成熟制程往先进制程迁移资料来源:台积电官网、 资料来源:ASML 官网、 图表 29:7nm 及以下先进制程应用:智能手机、HPC、AIoT资料来源:拓璞产业研究、 晶圆制造行业技术复杂度不断提升摩尔定律引领半导体产业,实现产业持续升级需要贯穿整条产业链,包括上游(设备如光刻机厂商 ASML)、晶圆制造(台积电、英特尔、三星)以及下游(IC 设计如苹果、AMD、海思、高通、联发科等)等环节的厂商协同。光刻机从 DUV 到浸入式 DUV,再

29、升级成 EUV,成为推进摩尔定律的重要环节。根据 ASML 预测,晶圆代工领域节点会持续升级;内存 DRAM 领域也将使用 EUV;闪存 Nand等向 3D 堆叠发展,不需要用EUV 升级。其他设备龙头厂商如 AMAT、Lam Research、KLA Tencor 等也纷纷布局先进制程节点相关设备。图表 30:ASML 预测半导体制程升级规划资料来源:ASML 官网、 图表 31:先进制程设备端布局资料来源:ASML 官网、 晶体管结构创新,形态更加复杂。2011 年,英特尔在 22nm 时引入 FinFET,减少横向尺寸,增加单位面积设备密度,同时增加鳍的高度。三星计划于 20212022

30、 引入 GAA,应用于其 3nm 制程。台积电除了 GAA 晶体管结构之外,也进行其他方向布局。图表 32:晶体管结构变化图表 33:下一代晶体管结构资料来源:ASML 官网、 资料来源:IMEC、 先进封装技术是高性能芯片的重要基础之一。硅通孔(TSV)的三维封装技术在超越摩尔定律中扮演重要角色。先进封装技术提升了互联密度和信号传输速率。在已经量产的2.5D IC 领域,台积电主推CoWoS 工艺,英特尔主推EMIB 工艺,三星主推 FOPLP。未来通过难度更高的 TSV 技术,台积电将进一步量产 SoIC、WoW 等 3D IC,英特尔推出 Foveros 技术,三星推出 3D SiC。图

31、表 34:台积电先进封装技术一览先进封装技术InFOCoWoSSoICWoW技术名称整合扇出型封装基板上晶圆上晶系统整合晶片封晶圆堆叠晶圆封片封装装装封装结构分类2.5D IC2.5D IC3D IC3D IC制程内容概述晶圆级封装将先进制程逻辑不同制程晶片与两片相同制程晶(InFO-PoP、 InFO_oS、 InFO_MS、InFO_AIP 等)IC 及HBM/HBM2 整合封装在第一晶片晶圆透过 TSV堆叠封装圆直接透过 TSV堆叠封装量产时间已量产已量产2021 年后2021 年后资料来源:台积电、 图表 35:台积电布局 3D integration 封装技术图表 36: 三星布局先

32、进封装技术资料来源:台积电官网、 资料来源:三星官网、 图表 37:台积电、三星、英特尔均是堆叠封装技术的主要参与者资料来源:Yole、 护城河加深,行业高壁垒、高集中、少进入者先进制程呈现资金、技术壁垒不断提高的趋势,行业格局逐渐出清。从制造环节而言,行业资金、技术壁垒极高,不仅十多年来没出现新的竞争玩家,而且随着制程分水岭的出现,越来越多的参与者从先进制程中“出局”。格罗方德在 2018 年宣布放弃 7nm 研发,联电在 2018 年宣布放弃 12nm 以下(即 7nm 及以下)的先进制程投资,因此保持先进制程研发的玩家仅剩行业龙头台积电、三星、英特尔等,以及处于技术追赶的中芯国际。图表

33、38:晶圆厂制程升级规划资料来源:各厂商、拓璞产业研究、 高资金壁垒和技术壁垒,行业十多年没有新的竞争者出现且越来越多现有玩家放弃先进制程追赶。庞大的资金投入使得中小行业玩家望而却步,复杂越来越高的工艺和技术成为行业固有护城河,并且随着“摩尔定律”推进,每一个制程节点都举步维艰,拥有高端制程能力的公司屈指可数。行业呈现寡头垄断,台积电强者愈强。根据拓璞产业研究,2019 年全球十大晶圆代工厂分别为:台积电、三星、格芯、联电、中芯国际、TowerJazz、H-Grace、VIS、PSC、 DongbuHiTek。台积电市占率超过 50%,在整个晶圆代工行业,台积电不管是技术领先性还是优质客户和订

34、单的选择,都是保持比较大的优势。图表 39:晶圆代工行业前十名收入(百万美元)公司19Q1Q318Q1Q3YOYTSMC2424624887-2.60%Samsung92969559-2.80%GlobalFoundries41394636-10.70%UMC34303850-10.90%SMIC22762573-11.50%TowerJazz928970-4.40%H-Grace6906811.30%VIS676705-4.20%PSC5651014-44.30%DongbuHiTek433443-2.30%Top 104667949320-5.40%资料来源:各公司官网、拓璞产业研究、 目

35、前能够提供 7nm 及 7nm 以下先进制程工艺(对应英特尔 10nm)的厂商仅有台积电、英特尔和三星。根据拓璞产业研究,2019 年台积电先进制程市场份额为 52%,英特尔约 25%,三星约 23%。图表 40:先进制程产能分布资料来源:拓璞产业研究、 晶体管密度不断提升,但不同厂商命名规格有区别。英特尔的 10nm 工艺晶体管密度介于台积电 7nm 和 7nm EUV 之间。2019 年,台积电 7nm 制程投资大概 100110K 产能,客户较多。三星 7nm LPP(EUV)工艺产能大概 10K,三星的晶圆代工业务客户主要是三星、高通、IBM。根据拓璞产业研究,2020 年台积电 5n

36、m 产能预计 6070K,同时三星预计也会推出其 5nm 工艺。图表 41:不同制程节点晶体管密度(标准化工艺节点以intel 10nm 为参考节点)工艺密度(MTr)标准化节点(nm)标准化级数状态Intel 7nm201.67.113.0In ResearchSamsung 3GAE195.07.212.9In ResearchTSMC N5173.77.612.4In DevelopmentSamsung 4LPE145.88.311.6In ResearchSamsung 5LPE126.78.911.0In ResearchTSMC N6114.29.410.5In Developm

37、entTSMC N7+113.99.410.5HVMSamsung 6LPP112.89.510.5In ResearchIntel 10nm100.810.010.0LVMTSMC N7/N7P96.510.29.8HVMSamsung 7LPP95.310.39.8LVMSamsung 8LPP61.212.87.8HVMTSMC N1060.312.97.8HVMSamsung 10LPP51.813.97.1HVMIntel 14nm43.515.26.4HVMTSMC N1236.716.65.6HVMSamsung 14LPP32.917.55.2HVMTSMC N1628.218

38、.94.5HVMIntel 22nm15.325.71.8HVM资料来源:MebiuW、 台积电积极推动先进制程,引领全行业。根据制程性能提升幅度上看,28nm、16nm、 7nm 等具有显著提升幅度的节点,一般具有相对较长的寿命;而提升幅度较少的节点一般为过渡节点。台积电 6nm 预计在 2020Q1 进行风险试产,预计 2020 年年底量产;5nm进入爬坡提升良率阶段,预计 2020 年 3 月开始量产。台积电的 5nm 逻辑密度将是之前 7nm 的 1.8 倍,SRAM 密度是 7nm 的 1.35 倍,可以带来 15%的性能提升,以及 30%的功耗降低。图表 42:台积电制程升级路径资

39、料来源:台积电官网、 图表 43:台积电历代制程PPA(power、performance、Are reduction)环比提升幅度16FF+ VS 20SOC10FF VS 16FF+7FF VS 16FF+7FF VS 10FF7FF+ VS 7FF5FF VS 7FF功率60%40%60%50%70%37%17%45%资料来源:台积电官网、 英特尔在 10nm 制程上稍微落后,随后 7nm 预计在 2021 年量产,并持续进行优化。英特尔制程升级历史一般是两年一次,但从 2014 年的 14nm 到 2019 年的 10nm,出现明显的升级放缓。目前,英特尔的 10nm 工艺已经量产,但

40、存在缺货问题。英特尔预计2020 年推出 10nm+,2021 年推出 7nm 及 10nm+,2022 年推出 7nm+,2023 年推出 7nm+。英特尔的晶圆厂主要用于生产自家 CPU。英特尔 2020 年capex 提升至 170 亿美元,其中一半用于 7/5nm 和扩大 Fab 工厂。英特尔的 10nm 还是采用浸入式 DUV 设备,7nm 才开始导入 EUV 设备。英特尔的芯片从 2018H2 开始就供不应求,因此 2019 年 capex 为 162 亿美元,产能增长 25%。图表 44:19872019 英特尔制程升级路径(纵坐标为制程 nm 数)图表 45:英特尔未来制程升级

41、规划资料来源:英特尔官网、 资料来源:英特尔官网、 图表 46:英特尔服务CPU 产品路线2014201520162017201820192020CPUHaswellBroadwellSkylakeCascade LakeCooper LakeIce Lake制程22nm14nm14nm+14nm+14nm+10nmchannel446688资料来源:英特尔官网、 三星积极投入晶圆代工领域,加快制程升级。三星在 2017 年将晶圆代工业务部门从系统 LSI 中独立出来,主要为全球客户制造非存储芯片。截止 2019 年底,三星晶圆代工专属线包括 6 条 12 寸线和 3 条 8 寸线。提供包括

42、65 纳米、45 纳米、32/28 纳米 HKMG、14 纳米 FinFET、10 纳米FinFET、7 纳米 FinFET EUV 工艺,客户包括苹果、高通、超微半导体、赛灵思、英伟达、恩智浦(NXP)以及韩国本土公司 Telechips 等。三星计划在 2020 年底试产 3nm 工艺,并专用 GAA MCFET 工艺技术。三星计划在未来十年(至 2030年)共投资约 1150 亿美元,用于争取晶圆代工行业主导权。图表 47:三星电子晶圆代工制程发展路径资料来源:芯思想研究院、 半导体需求三驾马车共振,国产替代迎来机遇创新趋势不变:创新是决定电子行业的估值与持续成长的核心逻辑,本轮创新由

43、5G 驱动的数据中心、手机、通讯等历史上第一次共振。中期供需仍紧张:全球半导体投资关注中期供需的核心变量需求与资本开支,疫情对短期需求会有一定扰动,但中期三大需求不受本质影响,而全球资本开支截止 2019Q3末还没有全面启动,并有部分企业由于疫情再次递延资本开支,中期供需缺口有望继续放大。供给方面,全球资本开支除龙头台积电外尚未全面启动,我们预计本次疫情中部分企业将再度进行资本开支递延,中期供需缺口有望进一步放大,中期景气度有望继续保持向上趋势!国产替代历史性机遇开启,2019 年正式从主题概念到业绩兑现,2020 年有望继续加速。逆势方显优质公司本色,这是 19 年行业下行周期中 A 股半导

44、体公司迭超预期,优质标的国产替代、结构改善逐步兑现至报表是核心原因。进入 2020 年,我们预计在国产化加速叠加行业周期景气上行之下,A 股半导体龙头公司们有望延续高增长表现。数据中心:数据中心回暖,受益于 5G 持续发展在目前服务器均价已经企稳的同时,我们认为在未来随着 5G 对其的拉动,内部升级将不间断,5G 网络带来的传输速度将会较 4G 有质的提升,服务器将会在应对存储方面呈几何倍数增长的需求的同时,还需要保持高带宽、低时延、高稳定性的要求,对于服务器而言无疑是在性能方面提出了更高的要求。服务器或有望在未来实现更进一步的价值量的提高,达到价量齐升的平台。全球服务器行业已经历经多年,其出

45、货量从 2013 年至 2018 年也经历了起起伏伏。2018年,全球服务器市场出货量再次实现了超越 10%的增长,主要源自于云计算、大数据、 AI 等新一代技术对互联网企业持续拓展基础架构规模的推动,同时也刺激了传统企业用户的采购需求。2019 年,企业买家和超大规模公司通过 ODM 购买的需求比前几个季度减少,这影响了第一季度的市场增长速度,但用户对高配置服务器的需求将进一步支持平均售价的增长。图表 48:全球服务器年出货量统计资料来源:IDC 及国盛电子预测的 19Q4 出货量, 随着 IoT、AI(尤其智能安防)和智能驾驶时代到来,边缘计算的快速成长带来的性能需求将成为中长期半导体的成

46、长驱动!数据中心对服务器的需求成为整体服务器市场出货成长的关键。我们预计近两年来数据中心服务器的需求将在 2020 年前完成规划,将继续维持每年二至三成的年增率,推动服务器出货量及市场的增长。图表 49:IDC 服务器装机量增长趋势(千台)资料来源:DRAMeXchange、 图表 50:云计算资本开支金额(百万USD)2013201420152016201720182019EAmazon3,4434,8935,3877,80411,95513,42614,130Apple7,83310,80311,64212,45611,92713,85812,183Google7,35810,0599,9

47、159,97213,13022,73924,698Facebook1,3621,8312,5234,4916,73213,98016,277Microsoft5,6875,2946,5529,1148,69614,22314,498Alibaba5377608581,4963,4675,5214,822Tencent9007381,1881,7511,9323,6164,231Baidu4287558066066801,3302,092IBM3,7683,8313,7793,7263,3133,7162,676Oracle5888011,6061,6281,9861,5201,992资料来源:

48、HIS、 我们根据 IDC 以及 Gartner 对于过往季度的服务器出货量以及对未来的服务器出货量的预测进行调整后,我们预计在 2019 年后服务器行业将受到 5G 时代的冲击,实现长期且稳定的出货量的增长,同时由于服务器产品的不断升级,我们也预计其单价将在未来逐步增长。预计全球服务器的出货量将会在 2020 年达到 1220 万台,而随着 5G 的逐步铺设,在 2021 年将会继续保持约 10%的增长,且之后预计将以每年 7%8%的增速稳定且持久的增长。图表 51:全球服务器自 2019 年后的出货量预测(万台)资料来源:国盛电子根据Gartner、IDC 数据测算, 对于中国内服务器需求

49、及出货量而言,我们认为中国作为 5G 建设最快国,服务器方面的建设也将遥遥领先,同时由于中国在该方面进度略慢于海外,故在 2021 年之前服务器出货量将维持高于全球增速的平台之上,之后逐步恢复,与全球的服务器增速趋同。图表 52:中国 X86 服务器出货量及预测图表 53:中国 X86 服务器市场规模资料来源:IDC, 资料来源:IDC, 图表 54:数据中心的新SSD 储存需求(ZB/年)图表 55:数据中心对 300mm 硅片的需求(千片每月)资料来源:Sumco, 资料来源:IHS,Sumco, 我们依旧是根据 Gartner 以及 IDC 的数据对服务器进行了简单的分类:高性能运算服务

50、器以及传统服务器。根据预测,在接下来数年内服务器市场的增长将主要以可支撑 AI 计算方面的高性能服务器为主,同时也将带动 CPU/GPU、以及Dram 的高增长。高性能运算服务器高性能同比增长传统服务器传统同比增长图表 56:不同类型服务器出货量预测(万台)图表 57:服务器合计出货量预测(万台)服务器出货量YoY1200100080060040020002019E 2020E 2021E 2022E 2023E 2024E 2025E14%12%10%8%6%4%2%0%20001800160014001200100080060040020002019E 2020E 2021E 2022E

51、2023E 2024E 2025E12%10%8%6%4%2%0%资料来源:国盛电子根据Gartner、IDC 数据测算, 资料来源:国盛电子根据Gartner、IDC 数据测算, 图表 58:全球服务器用DRAM、CPU、GPU 测算201720182019E2020E2021E2022E2023E2024E2025E服务器数量(单位:万台)高性能运算服务器48052858665172982392210241126传统服务器577657526570609622638646661服务器总量105711851112122013381445156116701787yoy12%-6%10%8%8%7

52、%7%7%DRAM 需求测算(GB)高性能 DRAM 用量4005206507808971032118613641569传统服务器 DRAM 用量250300330363381400420441463高性能 DRAM 需求(亿)192738516585109140177yoy43%39%33%8%8%7%7%27%传统服务器 DRAM 需求(亿)142017212325272831yoy37%-12%19%8%8%7%7%7%DRAM 需求总量(亿)3447557189110136168207yoy40%18%29%8%8%7%7%23%CPU 需求测算(个)单位高性能 CPU 数量22.12

53、.32.52.93.23.43.53.5单位传统服务器 CPU 数量11.11.11.21.31.41.51.51.5高性能 CPU 需求(万)96011091348162621132635313535833941yoy16%22%21%8%8%7%7%10%传统服务器 CPU 需求(万)577722579683792870958969991yoy25%-20%18%8%8%7%7%2%CPU 需求总量(万)153718311927231029053505409345524932yoy19%5%20%8%8%7%7%8%GPU 需求测算(个)单位高性能 GPU 数量0.80.911.21.41

54、.61.81.91.9单位传统服务器 GPU 数量0.20.20.30.30.30.40.40.50.5高性能 GPU 需求(万)38447558678110201317166019452139yoy24%23%33%8%8%7%7%10%传统服务器 GPU 需求(万)115131158171183249255323330yoy14%20%8%8%8%7%7%2%GPU 需求总量(万)49960774495212031566191522682470yoy21%23%28%8%8%7%7%9%汇总DRAM 需求总量(亿 GB)334756718911013616820740%18%29%8%8%

55、7%7%23%CPU 需求总量(万个)15371831192723102905350540934552493219%5%20%8%8%7%7%8%GPU 需求总量(万个)499607449521203156619152268247021%23%28%8%8%7%7%9%资料来源:国盛电子根据Gartner,IDC,以及产业信息进行整理并测算, 手机:5G 放量“前夜”,单机硅含量提升中国手机市场正值 5G 放量的“前夜”。IDC 公布全球 2019 年 Q3 手机出货量为 3.58 亿部,同比增长 1%,智能手机的市场正在逐渐回暖。按照市场份额来看,排名第一的为三星,三季度出货 7820 万,

56、同比增长 8.3%。华为排名第二,三季度出货 6660 万,同比增长 28.2%。苹果三季度出货 4660 万,同比下滑 0.6%。图表 59:全球智能手机出货量(百万台)资料来源:IDC、 图表 60:全球智能手机按品牌出货量(百万台)资料来源:IDC、 5G 芯片备货量超预期,逐渐向中低端渗透。根据 IDC 预测,2019 年 5G 手机出货量为670 万部,份额仅为 0.5%。到 2023 年,5G 手机出货量将达到整体手机出货量的 26%。各家 5G 芯片供应商纷纷加足马力备货,我们预计 2020 年全球 5G 手机出货量为 2-3 亿部。台积电 7 纳米制程产能在 2019 年第 3

57、 季开始全线爆满的盛况,2020 年上半年都可能出现产能供不应求的局面。联发科、高通、三星电子及海思等 5G 芯片供应商,都不断要求上、下游协力厂大举扩充产能,并有效拉高公司内外的库存水平。我们看到 5G 芯片的备货开始向中低端加速渗透。图表 61:5G 芯片备货量(百万颗)资料来源:IDC、 移动数据传输量和传输速度的不断提高主要依赖于移动通讯技术的变革,及其配套的射频前端芯片的性能的不断提高。在过去的十年间,通信行业经历了从 2G 到 3G 再到 4G(FDD-LTE/TD-LTE)两次重大产业升级。在 4G 普及的过程中,全网通等功能在高端智能手机中得到广泛应用,体现了智能手机兼容不同通

58、信制式的能力。图表 62:移动通讯技术的变革路线图资料来源:卓胜微招股说明书、Global Radio Frequency Front-end Module Market Research Report 2017、 根据 QYR Electronics Research Center 的统计,从 2011 年至 2018 年全球射频前端市场规模以年复合增长率 13.10%的速度增长,2018 年达 149.10 亿美元。受到 5G 网络商业化建设的影响,自 2020 年起,全球射频前端市场将迎来快速增长。2018 年至 2023 年全球射频前端市场规模预计将以年复合增长率 16.00%持续高速

59、增长,预计 2023 年接近313.10 亿美元。图表 63:全球射频前端市场规模预测(亿美元)全球射频前端市场(亿美元)增长率3503002502001501005002011 2012 2013 2014 2015 2016 2017 2018 2019E 2020E 2021E 2022E 2023E25.0%20.0%15.0%10.0%5.0%0.0%资料来源:卓胜微招股说明书、Global Radio Frequency Front-end Module Market Research Report 2019、 随着消费者对高质量拍照、录像的需求日益增加,摄像头模组的进化是智能手机

60、发展的必经之路。伴随着双摄、三摄渗透率的提高,市场将会开启新的成像变革。根据 Statista的预测,2018 年三摄渗透率仅为 1.6%,而到了 2020 年三摄的渗透率将达到 24.5%。在采用三摄的机型上,安卓阵营在今明两年或比苹果更加积极。图表 64:全球手机摄像头模组消费量(亿颗)图表 65:国内手机摄像头模组产量(亿颗)出货量YoY396%385%37364%353%34332%321%31300%20142015201620172018E2019E出货量YoY403530252015105020142015201620172018E2019E10%9%8%7%6%5%4%3%2%

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论