




免费预览已结束,剩余10页可下载查看
下载本文档
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
东 北 石 油 大 学课 程 设 计课 程 eda技术课程设计 题 目 出租车自动计费系统 院 系 电子科学学院 专业班级 电子信息工程 学生姓名 学生学号 070901140520 指导教师 2011年 3 月11日东北石油大学课程设计任务书课程 eda技术课程设计题目 出租车自动计费系统专业 电子信息工程 姓名 学号 070901140520主要内容、基本要求、主要参考资料等主要内容:设计一个最大量程为99.99元的出租车自动计费,计费器具有行车里程计费、等候时间计费及起价三部分功能,并用数码管显示车费的数目。基本要求:1、设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99.99元;2、行车里程单价1元/公里,等候时间单价0.5元/10分钟,起价3元(3公里起价)均能通过人工输入。3、行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里,然后用bcd码比例乘法器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。例如单价是1.0元/公里,则脉冲当量为0.01元/脉冲。4、用led显示行驶公里数,两个数码管显示收费金额。主要参考资料:1 潘松著.eda技术实用教程(第二版). 北京:科学出版社,2005.2 康华光主编.电子技术基础 模拟部分. 北京:高教出版社,2006.3 阎石主编.数字电子技术基础. 北京:高教出版社,2003.完成期限 2011.3.11 指导教师 专业负责人 2011年 3月7日一、总体设计思想1.基本原理可以使用汽车的里程计数脉冲,也可以在车轮上加装电磁继电器,在每行驶一定里程发出一次脉冲。在本实验中假设每10米发出一次脉冲。时间信号可以通过电容电感振荡电路或晶体振荡电路产生分频取得。本实验中使通过实验箱的固定脉冲产生模块取得。基于cpld的出租车计费器的组成如下图所示。各部分主要功能包括:信号输入模块对车轮传感器传送的脉冲信号进行计数(每转一圈送一个脉冲),并以高低脉冲模拟出租汽车启动、停止、暂停、加速按钮,具有输入信号作用;数据转换模块将计费模块输出的车费和路程转换成4位的十进制数据;译码动态扫描模块将路程与费用的数值译码后用动态扫描的方式驱动数码管;数码管显示模块将公里数和计费金额均用4位led数码管显示(2位整数,2位小数)。2.设计框图系统框图二、设计步骤和调试过程1、总体设计电路(1)分频模块分频模块是对系统时钟频率进行分频。将外部时钟clk经过适当的分频后,产生1hz的基准时钟信号,提供给电路系统中各有模块作为计时。这里主要是一个计数器实现时钟的分频,当累加到预置的数值后输出高电平,否则输出低电平,从而实现时钟的分频。(2)控制模块计价器控制模块主要完成对计价器状态的控制。 控制模块的仿真波形图(3)计量模块计量模块是2个100进制计数器,输入的每10米一次脉冲经一次100进制计数获得每km一次的脉冲,由100进制计数输出为2个4位2进制bcd码。接显示模块,得到计程(0-99km)。计时部分:计算乘客的等待累计时间。等候时间计费需将等候时间转换成脉冲个数,用每个脉冲表示的金额与脉冲数相乘即得计费数,例如100个脉冲表示10分钟,而10分钟收费0。5元,则脉冲当量为0。05元/脉冲,如果将脉冲当量设置成与行车里程计费相同(0。01元/脉冲),则10分钟内的脉冲数应为500个。计程部分:计算乘客所行驶的公里数。计程器的量程为99km,满量程后自动归零。计量模块的仿真波形图(4)计费模块计费模块是设计中的关键模块,也是最难的模块。逻辑复杂,要求多。使用前两模块中的分钟信号作为时间输入,千米信号作为路程输入。使用2个特殊编写的10进制计数器对时间和里程计数。并且在里程计数设置ent输出,在计费小于3元是钳制角金额输出为零。但是角计费照常只是不显示。在金额超过3元时显示。再使用一十进制计数器进行十元计数。 计费模块仿真波形图(4)译码显示模块: 译码显示模块完成计价、计时和计程数据显示。计费数据送入译码显示模块进行译码,最后送至以十元、元、角为单位对应的数码管上显示。计程数据送入译码显示模块进行译码,最后送至以km为单位的数码管上显示。 2、模块设计和相应模块程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity chuzuche isport( clk: in std_logic; -系统时钟 start: in std_logic; -计费开始信号 stop: in std_logic; -行驶中,中途等待停止信号 fin: in std_logic; -1km脉冲信号 cha3,cha2,cha1,cha0: out std_logic_vector(3 downto 0); -计费输出信号 km1,km0: out std_logic_vector(3 downto 0); -行驶公里数 min1,min0: out std_logic_vector(3 downto 0); -等待时间输出end entity chuzuche ;architecture behave of chuzuche is signal q_1: integer range 0 to 99; signal w: integer range 0 to 59;signal c3,c2,c1,c0: std_logic_vector(3 downto 0); signal k1,k0: std_logic_vector(3 downto 0); signal m1: std_logic_vector(2 downto 0); signal m0: std_logic_vector(3 downto 0);signal temp0,temp1: std_logic_vector(3 downto 0);signal f_1: std_logic; signal en0,en1: std_logic;beginfeipin:process(clk,start)begin if clkevent and clk=1 then if start=0 then f_1 =0; q_1 =0; else if q_1=99 then q_1=0; f_1=1; else q_1=q_1+1; f_1=0; end if; end if; end if;end process;process(fin)begin if finevent and fin=1 then if start=0 then k1=0000; k0=0000; elsif stop=0 then if k0=1001 then k0=0000; if k1=1001 then k1=0000; else k1=k1+1; end if; else k0=k0+1; end if; end if; end if;end process; process(f_1)begin if f_1event and f_1=1 then if start=0 then w=0; m1=000; m0=0000; elsif stop=1 then if w=59 then w=0; if m0=1001 then m0=0000; if m1=0101 then m1=0000; else m1=m1+1; end if; else m0=m0+1; end if;else w=w+1; end if;end if; end if;end process;process(clk)begin if clkevent and clk=1 then if start=0 then en0=0; en1=0; elsif stop=0 then en100000011 then en0=1; else en0=0; end if; elsif stop=1 then en00000010 then en1=1; else en1=0; end if; end if;end if;end process;process(clk,start)variable c13,c15 : integer;begin if start=0 then c3=0000; c2=0000; c1=0011; c0=0000; c13:=0; c15:=0; temp0=0000; temp1=0000; elsif clkevent and clk=1 then if(en0=1)then if(c1313)then c13:=c13+1; if(c13=1)then temp0=k0; end if; if c0=1001 then c0=0000; if c1=1001 then c1=0000; if c2=1001 then c2=0000; if c3=1001 then c3=0000; else c3=c3+1; end if; else c2=c2+1; end if; else c1=c1+1; end if; else c0=c0+1; end if; elsif(k0/=temp0)then c13:=0; end if; elsif(en1=1)then if(c1515)thenc15:=c15+1;if(c15=1)then temp1=m0;end if; if c0=1001 then c0=0000; if c1=1001 then c1=0000; if c2=1001 then c2=0000; if c3=1001 then c3=0000; else c3=c3+1; end if; else c2=c2+1; end if; else c1=c1+1; end if;else c0=c0+1;end if;elsif(m0/=temp1)then c15:=0;end if; end if; end if;end process;process(f_1)begin if f_1event and f_1=1then if start=0 then min1=0000;min0=0000; km1=0000; km0=0000;cha3=0000;cha2=0000; cha1=0000;cha0 =0000; else min1=0&m1;min0=m0; km1=k1; km0=k0;cha3=c3;cha2=c2; cha1=c1;cha0=c0; end if; end if; end process;end behave;3、仿真及仿真结果分析仿真图1仿真图2 4、实验调试结果 为验证所设计程序是否正确,将程序下载进行硬件测试。在quartus开发环境中进行管脚锁定,连接好数码管驱动电路,然后将目标文件下载到器件中。经过多次数据测试,显示正常,基本实现本实验的要求。三、结论及心得体会短短一周的eda课程设计已经接近尾声了,我们从挑选课设题目,查阅资料,到研究出总体设计,详细设计,然后分工合作,再到最后的编程上机调试,修改程序,完善程序,收获颇多。出租车计费器系统的设计已全部完成,能按预期的效果进行模拟汽车启动,停止、暂停等功能,并设计动态扫描电路显示车费数目。车暂停时停止计费。若停止清零,等待下一次计费的开始。出租车计费系统设计中体现了vhdl覆盖面广,描述能力强,是一个多层次的硬件描述语言及pld器件速度快,使用方便,便于修改等特点。本设计在使用方面居庸一点的价值。由于时间有限和经验是平的欠缺,不足之处还望老师予以指正。在这一周里我们再次熟悉和增强了对vhdl语言的基本知识,熟悉利用vhdl语言对常用的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来。vhdl硬件描述语言打破了硬件和软件设计人员之间互不干涉的界限,可以使用语言的形式来进行数字系统的硬件结构、行为的描述,直接设计数字电路硬件系统。通过编程、下载后,该芯片已经具备了原来需要使用复杂的数字电路实现的功能;更加了解和加深了对编制和调试程序的技巧,进一步提高了上机动手能力,培养了使用设计综合电路的能力,养成了提供文档资料的习惯和规范编程的思想。本次的课程设计将各个单一的模块实现其功能后,学会通过原理图或顶层文件把各模块连接,从而实现对出租车自动计费。课设注重的不仅是把理论知识巩固,而且应把理论和实际相结合,把知识应用到生活中。在课设过程中,遇到了不少问题,数码管无法正常显示,计费不按要求等。通过的对源代码的修改,发现了一些易忽略的细节。课设考验的是思维逻辑能力,对知识的灵活应用,当然,合作精神是不可或缺的。课设时间不长,要在短时间内完成绝不是个人力量能达到的,要学会集众人之精华,还要善于利用已有的工具为自己服务,开拓思维。课设让我们认识到所学本科知识的真正实用性,只是这门课开始研究的第一步。在设计程序时,不能妄想一次就将整个程序设计好,反复修改、不断改进是程序设计的必经之路;要养成注释程序的好习惯,一个程序的完美与否不仅仅是实现功能,而应该让人一
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- GB/T 14996-2025变形高温合金冷轧板材和带材
- 2025年汽车行业智能驾驶技术发展前景预测报告
- 2025年区块链技术应用前景分析报告
- 2025年互联网金融行业全球市场前景研究报告
- 2025年信息技术行业区块链技术应用前景展望研究报告
- 2025年石墨烯行业技术应用与市场前景研究报告
- 2025年网络安全行业量子安全技术应用前景研究报告
- 崇左市2025广西崇左市江州区自然资源局招聘2人笔试历年参考题库附带答案详解
- 宁波市2025年浙江宁波财经学院公开招聘工作人员12名笔试历年参考题库附带答案详解
- 国家事业单位招聘2025国家海洋环境监测中心招聘拟聘事业编制人员(第一批)笔试历年参考题库附带答案详解
- 用Excel编写公式模板计算医学决定水平处的偏倚
- ISO 14067-2018:温室气体 产品碳足迹 量化要求和指南(中文版)
- 2024年度吉林省高校教师资格证之高等教育心理学考试题库
- 教育综合统计调查制度培训课件2023年修订
- 智能城市垃圾分类处理系统合同
- 乙酰丙酸论文
- 人教版 九年级历史上册 第一、二单元 单元测试卷(2024年秋)
- 偏瘫康复护理个案病例分析
- NBT 10643-2021 风电场用静止无功发生器技术要求与试验方法-PDF解密
- 铁路防雷及接地工程技术规范(TB 10180-2016)
- 胸痛的鉴别诊断和诊断流程课件
评论
0/150
提交评论