基于FPGA的OFDM调制器的仿真设计毕业设计(论文).doc_第1页
基于FPGA的OFDM调制器的仿真设计毕业设计(论文).doc_第2页
基于FPGA的OFDM调制器的仿真设计毕业设计(论文).doc_第3页
基于FPGA的OFDM调制器的仿真设计毕业设计(论文).doc_第4页
基于FPGA的OFDM调制器的仿真设计毕业设计(论文).doc_第5页
已阅读5页,还剩33页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

武汉工程大学邮电与信息工程学院毕业设计(论文) 武汉工程大学邮电与信息工程学院武汉工程大学邮电与信息工程学院 毕业设计(论文)毕业设计(论文) 基于基于 fpgafpga 的的 ofdmofdm 调制器的仿真设计调制器的仿真设计 simulation design of ofdm modulator based on fpga 武汉工程大学邮电与信息工程学院毕业设计(论文) 作者声明作者声明 本人声明所呈交的论文是我个人在导师指导下进行的研究工作及取得的 研究成果,除了文中特别加以标注的地方外,没有任何剽窃、抄袭、造假等 违反学术道德、学术规范的行为,也没有侵犯任何其他人或组织的科研成果 及专利。与我一同工作的同志对本研究所做的任何贡献均已在论文中作了明 确的说明并表示了谢意。如本毕业设计(论文)引起的法律结果完全由本人 承担。 毕业设计(论文)成果归武汉工程大学邮电与信息工程学院所有。 特此声明。 作者专业: 作者学号: 作者签名: _年_月_日 武汉工程大学邮电与信息工程学院毕业设计(论文) 摘摘 要要 正交频分复用(ofdm)技术是一种多载波数字调制技术,作为一种可以有效 对抗信号信道间干扰和符号干扰的高速传输技术,以其频谱利用率高、抗多径衰 落能力强、抗窄带干扰性能好、成本低等特点,得到了广泛应用。它能满足无线 通信的高速化、宽带化以及移动化的需求,成为第四代移动通信的首选技术,也 是当前移动通信技术研究的热点问题。 fpga(现场可编程逻辑门阵列)是一种可编程逻辑器件,它具有设计时间短、 投资少、风险小的特点, 而且可以反复修改, 反复编程, 直到完全满足需要,具 有其他方式无可比拟的方便性和灵活性。这些特性使得 fpga 可以高性能地实现 ofdm 通信系统的收发模块功能。 本文概况地介绍了 ofdm 系统的基本概念、基本工作原理和关键技术,指出 了 ofdm 调制技术的优势;介绍了的 fpga 设计的基本原则和流程;深入进行基 于 fpga 的 ofdm 调制解调方案设计;针对仿真平台设计多种测试环境,得出仿 真波形进行方案的优化完善并进行验证。 本论文第 1 章首先首先介绍了 ofdm 的研究背景、目的以及意义。第 2 章对 ofdm 的基本原理以及技术的实习进行综述,并对 ofdm 系统的关键技术作出 了详尽的介绍。第 3 章对 ofdm 调制解调原理进行了说明,并介绍采用 ifft 和 fft 的 ofdm 系统结构。第 4 章叙述了 ofdm 调制器的 mtalab 仿真。第 5 章叙述了 ofdm 调制器的 verilog 仿真,并对仿真结果进行对比验证。第 6 章 对 ofdm 技术的主要优缺点总结,并对其发展进行了展望。 关键词:关键词:正交频分复用(ofdm);现场可编程门阵列(fpga) ;仿真 武汉工程大学邮电与信息工程学院毕业设计(论文) abstract orthogonal frequency division multiplexing (ofdm) technique is a multi-carrier digital modulation technology, as a kind of can effective against interference and symbol interference between the signal channel of high speed transmission technology, with its high spectrum efficiency, strong ability to resist multipath fading and narrowband interference resistant performance is good, low cost, etc, has been widely applied. it can satisfy the high speed wireless communications, broadband and mobile needs, be the first choice of the fourth generation mobile communication technology, is also a hot problem in the study on the current mobile communication technology. fpga (field programmable gate array) is a kind of programmable logic devices, it has shorter design time, the characteristics of less investment, small risk, and can be repeatedly modified and programming repeatedly, until fully meet the needs, other ways incomparable convenience and flexibility. these features make the fpga can achieve high performance of ofdm communication system transceiver module function. this article overview the basic concept of ofdm system are introduced, the basic working principle and key technology, points out the advantages of ofdm modulation technique; this paper introduces the basic principles and the fpga design of process; further for ofdm demodulation scheme based on fpga design; simulation platform is designed for a variety of test environment, scheme optimization and simulation waveform for validation. chapter 1, first of all, this paper first introduces the research background, purpose and significance of ofdm. chapter 2, the practice of the basic principle of ofdm and technology were reviewed, and the key technologies of ofdm system has made the detailed introduction. chapter 3 illustrates theory of ofdm modulation demodulation, and ifft and fft structure of ofdm system is introduced. chapter 4 describes the ofdm modulator of mtalab simulation. verilog simulation of ofdm modulator are described in chapter 5, and the simulation results were compared. chapter 6 main advantages and disadvantages of ofdm technology, and its development is prospected. 武汉工程大学邮电与信息工程学院毕业设计(论文) key words: orthogonal frequency division multiplexing (ofdm); field programmable gate array (fpga) ; simulation 武汉工程大学邮电与信息工程学院毕业设计(论文) 目目 录录 第第 1 章章 绪论绪论.1 1.1 ofdm 的研究背景1 1.2 ofdm 的研究目的和意义1 第第 2 章章 ofdm 技术基础技术基础.2 2.1 ofdm 的基本原理2 2.2 ofdm 技术的实现4 2.3 ofdm 系统的关键技术5 2.3.1 同步技术5 2.3.2 信道估计6 2.3.3 降低峰值平均功率比6 2.3.4 均衡6 2.3.5 编码信道和交织7 第第 3 章章 ofdm 调制器技术调制器技术.9 3.1 ofdm 调制解调原理9 3.2 采用 ifft 和 fft 的 ofdm 系统结构.11 第第 4 章章 ofdm 调制器的调制器的 mtalab 仿真仿真. 14 4.1 ifft 模块. 15 4.2 添加循环前缀.15 4.3 加窗模块.16 4.4 前导模块.16 4.5 成帧模块.17 第第 5 章章 ofdm 调制器的调制器的 verilog 仿真仿真. .18 5.1 ofdm 调制器的结构设计.18 武汉工程大学邮电与信息工程学院毕业设计(论文) 5.2 子模块仿真分析.18 5.2.1.bpsk/dbpsk 映射. 18 5.2.2 ifft 前数据处理 .20 5.2.3 ifft 模块20 5.2.4 添加循环前缀和加窗. .21 5.2.5 前导生成模块22 5.2.6 成帧模块23 5.3 仿真结果的对比验证.24 第第 6 章章 总结与展望总结与展望.25 参考文献参考文献.28 致谢致谢.29 附录附录 主要英文缩写语对照表主要英文缩写语对照表.30 武汉工程大学邮电与信息工程学院毕业设计(论文) 第第 1 章章 绪论绪论 1.1 ofdm 的研究背景的研究背景 在现代通信系统中,如何高速和可靠地传输信息成为人们关注的一个焦点。 虽然第三代移动通信比现有的传输速率快上千倍,但其数据传输速率也仅有 2mbit/s,第四代移动通信系统计划已经开始研究。第四代移动通信以正交频分复 用(ofdm)作为核心技术之一。ofdm 调制技术的出现为实现高效的抗干扰调制 技术和提高频带利用率开辟了一条的新路径。 20 世纪 60 年代已经提出了 ofdm 的基本原理,有关 ofdm 的专利在 1970 年 1 月首次公开发表,1971 年 weinstein 和 ebert 又提出用离散傅立叶变换来等 效多个调制解调器的功能,简化了系统结构,使 ofdm 技术更趋于实用化。近年 来,随着数字信号处理(dsp)和超大规模集成电路(vlsi)技术的发展才使得 制约 ofdm 技术发展的屏障不复存在,ofdm 也因而变得更加实用。 正交频分复用(ofdm)是一种特殊的多载波传输调制(mcm)技术,它可 以被看做是一种调制技术,也可以被当做是一种复用技术。ofdm 系统既可以维 持发送符号周期源于大于多径时延,又能够支持高速的数据业务,并且不需要复 杂的信道均衡。 1.2 ofdm 的研究目的和意义的研究目的和意义 本文的研究目的是从各方面深入研究正交频分复用理论,领会 ofdm 基带处 理技术、fpga 电路设计的关键思想,并给予 fpga 设计,实现 ofdm 系统中的 关键功能模块和基带处理中的调制解调器,并给出仿真结果。 基于 pfga 实现 ofdm 通信系统,能有效降低电路复杂度,运用先进的算 法提升通信系统的性能指标,采用计算机辅助设计,实现电子设计自动化,便于 移植、集成和大规模生产。 武汉工程大学邮电与信息工程学院毕业设计(论文) 第第 2 章章 ofdm 技术基础技术基础 2.1 ofdm 的基本原理的基本原理 众所周知无线通信传输信号的路径有很多,这就是所谓的多径效应,ofdm 的 最初提出是为了解决多径效应对数据传输的影响。在数字通信系统中,我们通常 采用的通信系统是单载波传输系统模型如图 2.1 所示。 tg tjw e 0 tjw e 0 t g 信道 图 2. 1 单载波传输示意图 图中 g(t)是匹配滤波器(对于给定的码元波形,使得输出信噪比最大的线性 滤波器),在传输速率并不高的情况下,这种系统因时延产生的码间干扰不是特 别严重,能通过均衡技术消除这种干扰。所谓码间干扰(isi)就是当一个码元的 时延信号产生的拖尾延伸到相邻码元时间中时,会影响信号的正确接收,导致系 统误码性能的降低,这类干扰就被称作码间干扰。但是对于宽带业务来说,由于 数据传输速率较高,高数据传输速率使得码元周期非常小,如果码元传输出现多 径时延,可能会影响到后面好几个码元。这就对均衡提出了更高的要求,需要引 入复杂的均衡算法,并且要考虑到算法的收敛速度和可实现性。从另一个角度去 看,当信号的带宽接近或者超过信道的相干带宽时,信道的时间弥散就会导致频 率选择性衰落,使得同一个信号中不同的频率成分体现出不同的衰落特性,所以 多载波传输技术的运用就是一种必然趋势。 ofdm 是一种多载波调制(mcm)技术,其基本原理就是把高速的数据流 经过串并变换,分配的传输速率相对较低的若干个子信道中进行传输。由于每个 子信道中的码元周期会相对增加,因此可以减轻由无线信道的多径时延扩展所产 生的时间弥散性对系统的影响,并且还可以在 ofdm,码元之间插入保护间隔, 令保护间隔大于无线信道的最大时延扩展,这样就可以最大限度地消除由于多径 带来的码间干扰(isi) ,而且一般采用循环前缀作为保护间隔,从而可以避免由 多径带来的信道间干扰(ici)1。 武汉工程大学邮电与信息工程学院毕业设计(论文) 随着 ofdm 技术的发展与兴起,考虑到能用 ofdm 技术来进行高速数据传 输,它能够很好地对抗信道的频率选择性衰落,减少甚至消除码间干扰的影响。 ofdm 是一项多载波传输技术,可以被当作是一种调制技术,也可以被看作是一 种复用技术。其基本原理是把传输的数据流串并变换后分解为若干个并行的子数 据流(也可以看作将一个信道划分为若干个并行的相互正交的子信道) ,这样的 话每个子数据流的速率比串行过来的数据流低得多(速率变为多少取决于变换为 多少路并行数据流) ,因此每个子信道上的码元周期将会变长,每个子信道上便 是平坦衰落,然后用每个子信道上的低速率数据去调制相应的子载波,从而构成 多个低速码元合成的数据的发送传输系统基本原理图如图 2.2。 s/p 积分 判决 积分 判决 积分 判决 p/s 信道 1 jt e 0 jt e 1n jt e 0 jt e 1 jt e 1n jt e 0 d 1 d 1 n d s t 0 d 1 d 1n d 图 2. 2 ofdm 系统调制解调原理框图 在单载波系统中,一次干扰或衰落就可能导致整个链路性能恶化甚至失效, 但是在多载波系统中,某一时刻仅仅会有少部分子信道受到衰落的影响,从而不 会使得整个通信链路性能失效。 在衰落信道中,根据多径信号最大时延和码元时间的关系,可以把性能 m t s t 降级分为平坦衰落和频率选择性衰落两种类型。如果,则信道呈现平坦衰 ms tt 要一个码元的多径时延扩展超出了码元持续时间,就会出现这种情况,而信号的 这种时延扩展会导致信号码间干扰的产生。 正交频分复用的技术关键就是实现并保护好子载波间的正交性,接受端收到 的信号 x(t)与子载波相乘后通过积分器,不同频率的载波相乘积分后为零,只有 武汉工程大学邮电与信息工程学院毕业设计(论文) 相同载波积分后得到原始符号。正是由于每个子载波的正交性,我们可以是子载 波的频谱重叠并靠近 nyquist 带宽,从而大大提高了频谱的利用率,所以非常适 合移动场合中的高速传输。多径传输的符号干扰时个头疼的问题,ofdm 为解决 这样的问题在符号间加上保护间隔内,保护间隔可以不传输任何信号。这样的情 况下仍然解决不了信道间干扰(ici) ,子载波之间的正交性遭到破坏,接收端就 不能很好的恢复出原始信号,这点是毁灭性的。ofdm 的解决方法是把符号后面 长度是 tg(保护间隔的长度)的部分拿到每个符号的前面当做保护间隔来传输, 这种方法就叫做循环前缀。这样就使得在 fft 周期内,ofdm 符号的延时副本所 包含的波形的周期个数是整数,从而解决了 ici。将原符号块最后信号放到原符 号块的前部,构成新序列,时域中原来发送信号与信道响应的线性卷积变为圆周 卷积。 2.2 ofdm 技术的实现技术的实现 电力线的信道环境非常恶劣,信道特征和参数受到频率、地点、时间和连接 到它上面的设备的影响。从 10khz 到 200khz 的低频率区域更容易产生冲突。而 且电力线是一个频率选择性信道。除了经常发生在 50/60hz 脉冲噪音中主要的背 景噪音外,窄带冲突和小组时延能达到几百微秒。 ofdm 是一种能有效利用有限 cenelec 带宽的调制技术,且支持使用先进 的信道编码技术,这种组合能力在电力线信道上形成一个非常可靠的通信。 图 2.3 展示了基于 g3-plc 协议的 ofdm 系统实现框图。cenelec 带宽被 分割成许多子信道,这些信道被看作是用不同的正交频率表示的独立频移键控 (psk)调制载波。正交和 r-s 编码提供了冗余比特,它能使接收端在由背景噪 声和脉冲噪声而造成的比特丢失的情况下自行纠错。时间频率交织方案用于降 低译码器输入端接受噪音的相关性而提供多样性。 武汉工程大学邮电与信息工程学院毕业设计(论文) data 帧控 制头 (fch) 交织 器 卷积 编码器 r-s 编码器 扰频器 dbpsk/ dqpsk 映射 ifft 添加 循环 前缀 加窗 模拟 前端 电力线 模拟 前端 同步检 测 去除 循环 前缀 fft 信道 估计 解交织 dbpsk/ dqpsk 解调 robust4 robust6 组合器 viterbi 解码器 r-s 解码器 解扰器data 帧控 制头 (fch) 前前向向纠纠错错码码解解码码器器 o of fd dm m解解调调器器 前前向向纠纠错错码码编编码码器器 图 2.3 基于 g3-plc 协议的 ofdm 系统实现框图 ofdm 信号是由复值信号点进行快速离散傅立叶变换(ifft)操作产生的, 这些信号点是由不同的相位调制编码产生,且它们被分配到不同的子载波。每个 ofdm 符号都是由一个循环前缀加到一个由 ifft 产生的块的前面而构成的。选 择一个循环前缀的长度以便信道时延不会引起连续 ofdm 符号或邻近的子载波产 生冲突。接收端基于接收信号的质量决定采用何种的调制方案。而且,系统会区 分受损的子载波的信噪比以及选择在哪个信道上传输。 2.3 ofdm 系统的关键技术系统的关键技术 2.3.1 同步技术同步技术 ofdm 技术区分各个子信道的方法是利用各个子载波之间严格的正交性。频 偏和相位噪声会使子载波之间的正交特性恶化从而导致子信道间的信号相互干扰 武汉工程大学邮电与信息工程学院毕业设计(论文) (ici),这种对频率偏差的敏感是 ofdm 系统的主要缺点之一,特别是在实际应用 中与 fdma、tdma 和 cdma 等多址方式相结合时,时间和频率同步尤为重要。 时域同步,要求 ofdm 系统确定符号边界,并且提取出最佳的采样时钟,从 而减小载波干扰(ici)和码间干扰(isi)造成的影响。在 ofdm 系统中,只有发送和 接收的子载波完全一致,才能保证载波间的正交性,从而可以正确接收信号。任 何频率偏移必然导致 ici。实际系统中,由于本地时钟源(如晶体振荡器)不能精确 的产生载波频率,总要附着一些随机相位调制信号。结果接收机产生的频率不可 能与发送端的频率完全一致。对于单载波系统,相位噪声和频率偏移只是导致信 噪比损失,而不会引入干扰。但对于多载波系统,却会造成子载波间干扰(ici), 因此 ofdm 系统对于载波偏移比单载波系统要敏感,必须采取措施消除频率偏移。 如果时域同步误差较大,fft 处理窗已超出了当前 ofdm 符号的数据区域和 保护时间区域,包括了相邻的 ofdm 符号,则引入码间干扰,严重恶化了系统性 能。 频域同步,要求系统估计和校正接收信号的载波偏移。 与频率误差不同, 时间同步误差不会引起子载波间干扰(ici)。但时间同步误差将导致 fft 处理窗包 含连续的两个 ofdm 符号,从而引入了 ofdm 符号间干扰(isi)。并且即使 fft 处理窗位置略有偏移,也会导致 ofdm 信号频域的偏移,从而造成信噪比损失, ber 性能下降。 ofdm 系统中的同步过程一般分为捕获和跟踪两个阶段,捕获阶段进行粗同 步,跟踪阶段进行细同步,以进一步减小误差。 对十突发式的数据传输,一般是通过发送辅助信息来实现同步。当前提出的 ofdm 系统中,采用辅助信息的同步方式主要可以分为:插入导频符号的同步和 基于循环前缀的同步。这两种同步方法,各有其优缺点。插入导频符号法同步性 能较好,但是这种方法浪费了带宽和功率资源,降低了系统的有效性。基于循环 前缀的同步法可以应用最大似然估计算法,克服了插入导频符号浪费资源的缺点, 且简单、易实现,但是同步范围较小。 武汉工程大学邮电与信息工程学院毕业设计(论文) 同步是 ofdm 技术中的一个难点,许多学者提出了很多 ofdm 同步算法, 其中较常用的有利用奇异值分解的 esprit 同步算法和 ml 估计算法, esprit 算法虽然估计精度高,但计算复杂,计算量大,而 ml 算法利用 ofdm 信号的循 环前缀,可以有效地对 ofdm 信号进行频偏和时偏的联合估计,而且与 esprit 算法相比,其计算量要小得多。ofdm 系统对定时频偏的要求是小于 ofdm 符 号间隔的 4%,对频率偏移的要求大约要小于子载波间隔的 1%2%,系统产生的- 3db 相位噪声带宽大约为子载波间隔的 0.01%0.1%。 2.3.2 信道估计信道估计 在 ofdm 系统中,信道估计器的设计主要有两个问题:一是导频信息的选取。 由于无线信道常常是衰落信道,需要不断对信道进行跟踪,因此导频信息也必须 不断地传送;二是复杂度较低和导频跟踪能力良好的信道估计器的设计。在实际 设计中,导频信息的选择和最佳估计器的设计通常又是相互关联的,因为估计器 的性能与导频信息的传输方式有关。 2.3.3 降低峰值平均功率比降低峰值平均功率比 由于ofdm信道时域上表现为n个正交子载波信号的叠加,当这n个信号恰好 均以峰值叠加时,ofdm信号也将产生最大峰值,该峰值功率是平均功率的n倍。 尽管峰值功率出现的概率较低,但为了不知真地传输这些高papr的ofdm信号, 发送端对高功率放大器(hpa)的线性度要求也很高。因此,高的papr使得ofdm 系统的性能大大下降甚至直接影响实际应用。为了解决这一问题,人们提出了基 于信号畸变技术、信号扰码技术和基于信号空间扩展等降低ofdm系统papr的方 法。 2.3.4 均衡均衡 在一般的衰落环境下,ofdm 系统中的均衡不是有效改善系统性能的方法。 因为均衡的实质是补偿多径信道引起的码间干扰,而 ofdm 技术本身已经利用了 多径信道的分集特性,因此在一般情况下,ofdm 系统就不必再做均衡了。在高 武汉工程大学邮电与信息工程学院毕业设计(论文) 度散射的信道中,信道记忆长度很长,循环前缀 cp 的长度必须很长,才能使 isi 尽量不出现。但是,cp 长度过长必然导致能量大量损失,尤其对子载波个数不 是很大的系统。这时,可以考虑加均衡器以使 cp 的长度适当减小,即通过增加 系统的复杂性换取系统频带利用率的提高。 2.3.5 编码信道和交织编码信道和交织 为了提高数字通信系统性能,信道编码和交织是普遍采用的方法。对于衰落 信道中的随机错误,可以采用信道编码;对于衰落信道中的突发错误,可以采用 交织技术。实际应用中,通常同时采用信道编码和交织,进一步改善整个系统的 性能。在ofdm系统中,如果信道衰落不是太严重,均衡是无法再利用信道的分 集特性来改善系统性能的,因为ofdm系统自身具有,利用信道分集特性的能力, 一般的信道特性信息已经被ofdm这种调制方式本身所利用了。但是ofdm系统 的结构却为在子载波间进行编码提供了机会,形成cofdm方式。编码可以采用 各种码,如::分组码、卷积码等,其中卷积码的效果要比分组码好。 武汉工程大学邮电与信息工程学院毕业设计(论文) 第第 3 章章 ofdm 调制器技术调制器技术 3.1 ofdmofdm 调制解调原调制解调原理理 ofdm 技术对信号进行 i/q 调制, 在 iq 两路调制时没有幅度上的失真, 所 以极大的克服了模拟 i/q调制的幅度和相位不平衡性, 克服了模拟混频电路非线 性的影响。由于 fpga的可编程性, 使用 fpga 实现调制 /解调可以提高系统的 可编程性。 在 fpga 中在使用平方根升余弦滤波器对基带信号滤波, 以消除符号间干扰, 滤波后的iq两路信号通过乘法器与 nco 中的正弦和余弦中频载波相乘完成 iq 调制, 最后两路信号相加通过 da 转换送入信道。接收时将信道来的通过 ad转 换后的信号通过与 nco 的两路正交载频相乘分解出 iq 两路信号送至 fpga 进行 ofdm 调制在并串转换数据输出。实现框图分别如图 3.1、图 3.2和图 3.3。 图 3.1 基于 ofdm 系统得调制和解调框图 武汉工程大学邮电与信息工程学院毕业设计(论文) 图 3.2 调制原理框图 图 3.3 调制原理框图 一个 ofdm 符号之内包含多个经过相移键控(psk)或者正交幅度调制(qam) 的子载波。如果用 n 表示子载波的个数,t 表示 ofdm 符号的持续时间(周期), di(i=0,1,2,n-1) 表示分配给每个子信道的数据符号, i表示第 i 个子载波的载 波频率,矩形函数 rect(t)=1,|t|t/2,则 t=ty从开始的 ofdm 符号可以表示为: (3.1) ttttt ttttttfjtttrectd ts ss ss n i sisi 0 )(2exp)2/(re )( 1 0 一旦将要传输的比特分配到各个子载波上,某一种调制模式则将它们映射为 子载波的幅度和相位,通常采用等效基带信道来表示 ofdm 的输出信号: (3.2) ttttt tttttttijtttrectd ts ss ss n i ssi 0 )(/2exp)2/( )( 1 0 其中 s(t)的实部和虚部分别对应 ofdm 符号的同相(in-phase)和正交 (quadrature-phase)分量,在实部系统可以分别与相应子载波的余弦分量和正弦分 武汉工程大学邮电与信息工程学院毕业设计(论文) 量相乘,构成最终的子信道信号和合成的 ofdm 符号。图 3.1 展示了 ofmd 系 统调制解调模型框图,其中 =c+i/t。在接收端,将接收的同相和正交矢量映射 回数据,完成子载波调制。 tfj e 1 2 信道 来自信道的 数据 串 并 变 换 d1 d0 dn-1 tfj e 2 2 tfj n e 1 2 s(t) tfj e 1 2 tfj e 2 2 tfj n e 1 2 积分 积分 积分 0 d 1 n d 1 d 并 串 变 换 图 3.4 ofdm 系统调制解调模型框图 这种正交性还可以从频域角度来理解,在每一个子载波频率的最大处,所有其 他子信道的频谱值恰好为零,因此在理想情况下,可以从多个相互重叠的子信道符 号频谱中提取出各个子信道符号,而不会受到其他子信道的干扰。ofdm 实际上是 可以满足无符号间干扰的奈奎斯特准则,这种消除子信道间干扰(ici)的方法是通过 在时域中使用矩形脉冲成形,在频域中每个子载波的最大处采样来实现。 3.2 采用采用 ifftifft 和和 fftfft 的的 ofdmofdm 系统结构系统结构 快速傅里叶变换是一个相对成熟和完善的算法,该算法因其方便、快捷和有 效性在很多领域得到广泛应用。傅里叶变换独特的蝶型运算不仅在现有的通信与 信号处理方面具有很强的优势,在 ofdm 系统中同样也能起到一定的作用。 在实际应用中,系统并行数据的调制与解调可以采用反傅立叶变换 (ifft) 和傅立叶变换 (fft) 来实现。采用 ifft 和 fft 的 ofdm 系统结构如图所示。 武汉工程大学邮电与信息工程学院毕业设计(论文) 图 3.5 采用 ifft 和 fft 的 ofdm 系统结构 对于 n 比较大的系统,式(3.2)中的 ofdm 复等效基带信号可以采用离散 傅里叶逆变换(idft)方法实现。为了叙述简洁,可以令式(3.2)中的 ts=0 并 且忽略矩形,对于信号 s(t)以 t/n 的速率进行抽样,即令 t=kt/n(k=0,1,n-1), 则得到: (3.3) 1 0 2 s(/)exp() n ki i ik s kt ndj n (01)kn 可以看到等效为对 进行 idft 运算。同样在接收端,为了恢复出原始 i d 的数据符号 ,可以对进行逆变换,即 dft 得到: i d (3.4) 1 0 2 s exp() n ik i ik dj n (01)in 调制 (如qam) 串 行 变 并 行 二进制 信源 ifft 低通 滤波器 信道 解调 (如qam) 并 行 变 串 行 二进制 数据 fft 低通 滤波器 x(k)s(n)s(t) 载波 调制 载波 解调 r(t)r(n)y(k) 武汉工程大学邮电与信息工程学院毕业设计(论文) 根据以上分析,可以看到 ofdm 系统的调制解调可以分别有 idft 和 dft 来替代,通过 n 点的 idft 运算,把频域数据符号变换为时域数据符号 , i dsk 经过射频载波调制之后,发送到无线信道中。其中每一个 idft 输出的数据符号 都是由所有子载波信号经过叠加而成的,既对连续的多个经过调制的子载波的sk 叠加信号进行抽样得到的。 在 ofdm 系统实际的运用中,可以采用更加快捷方便的 ifft/fft。n 点 idft 运算需要实施 n2 次复数乘法,而 ifft 则可以明显地降低运算复杂度。对 于常用的基 2 的 ifft 算法,其复数乘法次数仅仅为(n/2)log2(n),以 16 点的 变换为例,idft 和 ifft 中所需要的乘法次数分别为 256 次和 32 次,并且锁着 子载波个数 n 的增加,复杂度之间的差距也会越来越明显,idft 的计算复杂度 随着 n 增加而二次方增长,ifft 的计算复杂度却只是略快于线性变化。对于子 数量庞大的 ofdm 系统来说,可以进一步采用基 4 的 ifft 算法来实施傅里叶变 换。 武汉工程大学邮电与信息工程学院毕业设计(论文) 第第 4 章章 ofdm 调制器的调制器的 mtalab 仿真仿真 使用 matlab 可实现 ofdm 调制器的仿真,ofdm 调制器的系统模型可表 示如图 4.1 所示。数据源 fch 和 data 使用预先存储的数据(0、1 比特流),数 据大小分别为 3613 比特和 3640 比特。为了信号可以有效传输,系统采用 bpsk 和 dbpsk 调制方案,采用 256 点的 ifft 的运算模块。为消除 isi 和多径 造成的 ici 的影响,添加循环前缀,循环前缀大小为 30 采样点。为了让 ofdm 信号的带外功率谱密度下降的更快,对信号进行加窗。最后在信号的头部位置加 上前导码,形成 ofdm 帧,进行发送。 图 4.1 g3-plc 协议的数据帧结构 图 4.2 ofdm 调制器系统模型 数据源 fch 和 data 使用预先存储的 0、1 数据流,数据大小分别为 3613 比特和 3640 比特,其中 fch 采用 bpsk 映射,data 采用 dbpsk 映 射。 syncp syncm syncmsyncpsyncpsyncpsyncpsyncpsyncpsyncpfch1fch3fch13 overlap gifch1 overlap gi overlap gi overlap gi overlap gid1 preamble fch data bpsk映射 dbpsk映射 ifft 添加 循环 前缀 加窗 成帧 模块 前导生成 模块 frame data fch 武汉工程大学邮电与信息工程学院毕业设计(论文) 4.1 ifftifft 模块模块 在进行 ifft 运算时,ifft 的输入为 36 个子载波,其中第一个子载波放置到 第 23 号位置,最后一个子载波放置到第 58 号位置,其余位置补零。其中 ifft 运算结果有用的数据只为实部。 图 4.3 ifft 模块结构图 4.2 添加循环前缀添加循环前缀 由于信道具有记忆性,导致结果输出不仅与当前输入块有关,还与上一个输 入块有关,这样就引起了块间干扰(isi)。并且由于多径传播的影响,会造成子载 波间的干扰(ici),即子载波的正交性遭到破坏。 图 4.4 添加循环前缀 0 23 58 ifft 255 fchdata 取实部 运算 signal _ifft 0 0 循环前缀 cp c 0 c29 c30 c 285 带循环前缀的数据块 ofdm符号 c0 c1 c2 c226 c255 武汉工程大学邮电与信息工程学院毕业设计(论文) 4.3 加窗模块加窗模块 采用特定的窗函数,每个符号边界的 8 个采样点使用升余弦函数,其余采样 点窗函数值设置为 1。 图 4.5 升余弦窗函数 相邻符号间的头部 8 采样点和尾部 8 采样点进行覆盖叠加。示意图如下: 图 4.6 符号的覆盖叠加 4.4 前导模块前导模块 前导是由 8 个 syncp 符号和 1.5 个 syncm 符号连接后加窗后构成,其中 每个 syncp 和 syncm 符号都包含了 256 点。 图 4.7 前导加窗示意图 头部 尾部 头部 尾部 头部 尾部 第n-1个符号 第n个符号 第n+1个符号 + + 最终的符号 syncpsyncpsyncpsyncpsyncpsyncpsyncpsyncpsyncp 1/2m syncm 8个采样点 8个采样点 升余弦函数 窗函数 武汉工程大学邮电与信息工程学院毕业设计(论文) syncp 是由固定的 36 个初始相位为映射复数做 ifft 后取实部的结果, syncm 符号为 syncp 符号取反的结果。 4.5 成帧模块成帧模块 图 4.8 一帧信号波形图 图 4.9 一帧信号的功率谱示意图 第第 5 章章 ofdm 调制器的调制器的 verilog 仿真仿真 5.1 ofdm 调制器的结构设计调制器的结构设计 020004000600080001000012000140001600018000 -0.08 -0.06 -0.04 -0.02 0 0.02 0.04 0.06 0.08 ofdm位 位 00.20.40.60.811.21.41.61.82 x 105 -30 -20 -10 0 10 20 30 40 50 位 位 (位 位 hz) 位 位 位 位 位 /db 位 位 位 位 位 位 武汉工程大学邮电与信息工程学院毕业设计(论文) 图 5.1 为 ofdm 调制器 verilog 仿真的结构框图,系统设计基于 g3-plc 协 议。数据源 fch 和 data 采用预先存储于 rom 的数据,然后数据经过映射模 块,映射数据在做 ifft 运算之前要进行数据处理,添加循环前缀和加窗后,与 前导码进行叠加,形成 ofdm 帧。 rom bpsk/dbpsk 映射 ifft前 数据处理 ifft 添加循环前缀 和加窗 前导生成模块 成帧 模块 ofdm帧 rom syncp data fch 图 5.1 ofdm 调制器的结构框图 5.2 子模块仿真分析子模块仿真分析 5.2.1 bpsk/dbpsk 映射映射 本次设计的数据源 fch 和 data 预先存储于 rom 模块中,模块设计方案 为当 fch 数据输入使能信号 en_fch 有效时,输入 fch 待映射数据,输出数据 out_map 为 bpsk 映射的 fch;若 data 数据输入使能信号 en_data 有效时, 输入 data 待映射数据,输出数据 out_map 为 dbpsk 映射的 data。函数结构如 图 5.2 所示: 图 5.2 bpsk/dbpsk 映射函数 其中,每 36 个数据的起点,输出一个 sop_map 信号,表示映射数据输出的 武汉工程大学邮电与信息工程学院毕业设计(论文) 起点。数据进行 bpsk/dbpsk 映射的时候,由于调制方式差异的原因,如果 data 紧跟着 fch 输入完就输入进行 dbpsk 映射,那么 dbpsk 的输出会比 bpsk 输出晚两个时钟才会开始,所以需要让 data 提前两个时钟输入。 bpsk/dbpsk 映射仿真波形如图 5.3、5.4 所示。 图 5.3 bpsk 映射仿真波形 武汉工程大学邮电与信息工程学院毕业设计(论文) 图 5.4 dbpsk 映射仿真波形 5.2.2 ifft 前数据处理前数据处理 ifft 前数据处理的主要目的是实现输入 ifft 的数据流的控制,使输入数据 能够满足 ifft 模块的处理数据的要求。 图 5.5 数据流控制示意图 5.2.3 ifft 模块模块 ifft 模块采用了经过优化的 alter 公司的 ip 核 fft v7.2。该 ip 核处理速 度快、占用资源少、使用方便,能够满足本设计的应用要求。 ram1 ram2 m u x m u x 输入数据ifft800k800k 武汉工程大学邮电与信息工程学院毕业设计(论文) 图 5.6 ifft 模块仿真波形图 5.2.4 添加循环前缀和加窗添加循环前缀和加窗 将 ifft 运算结果暂存于两块 ram 中,用来交替存储流入的数据。 循环前缀的方案采用重复读取 ram 中的数据的方式,将一部分数据重复复 制,从而形成循环前缀。 图 5.7 数据流控制示意图 226-2550-255 01234567 89101112131415 248 249 250 251 252 253 254 255 保护间隔 ram中的数据 有效数据 武汉工程大学邮电与信息工程学院毕业设计(论文) 由于 fpga 中乘法器需要占用很大的资源,所以在硬件设计中将升余弦函数 部分用程序直接实现,其实现方法为将升余弦函数的数值转化为 8 位二进制,用 移位代替乘法器,而其他数值保持不变。 图 5.8 添加循环前缀和加窗函数仿真波形 5.2.5 前导生成模块前导生成模块 首先将一个符号的 syncp 的数据预先存储在 256*16bit 的 rom 中, syncm 采用 syncp 取反的结果,不会单独再存放于 rom 中。前导生成函数结 构图如图 5.13 所示,输入信号 ena 启动前导序列生成的信号,输出信号 odata_preamble_valid 为前导序列的有效信号。 图 5.9 前导生成函数结构图 函数内部设置计数器变量 cnt,读取 9.5 个符号。当计数器 cnt 为 0 时,开始 武汉工程大学邮电与信息工程学院毕业设计(论文) 进行第一个符号读取,同时头部 8 点进行加窗,由于地址的读取会产生两个时钟 的延时,所以当地址读取从 2 开始(0 和 1 由于地址无效,不是有效值)。第一个符 号读取完毕后继续从 rom 中重复读取第 2 至第 8 个 syncp,此时已读取了 8 个 syncp。当计数器计数至 8 时,读取 syncp 的同时取反,形成 syncm,当 计数器计数至 9 时,读取 1/2 个 syncm 的同时进行尾部 8 点加窗,生成前导。 前导仿真波形图如图 5.14 所示。 图 5.10 前导仿真波形图 5.2.6 成帧模块成帧模块 由于前导长度 2432 点,需要设置移位寄存器进行 cp 的 2432 个时钟的输出 延时,将前导的输出和数据的输出连续形成一帧。 武汉工程大学邮电与信息工程学院毕业设计(论文) 图 5.11 ofdm 仿真波形图 5.3 仿真结果的对比验证仿真结果的对比验证 将 verilog 的仿真结果与 matlab 的仿真结果进行比较,图 5.12 展示的是 verilog 仿真值与 matlab 计算结果的均方误差。从图中可以看出 verilog 的计 算值与 matlab 的计算值存在误差。这个误差的来源主要有两个方面,一方面 是因为采用定点数计算,转换过程中有一定的舍入误差。令一方面是在计算过程 中也会产生一些累积的误差。但这个均方误差值均小于,完全能够满足系统 5 10 设计要求。 050100150200250300 0 0.5 1 1.5 2 2.5 3 3.5 4 x 10 -5位 位 位 位 位 位 位 位 位 位 位 位 位 图 5.12 均方误差值 武汉工程大学邮电与信息工程学院毕业设计(论文) 第第 6 章章 总结总结 ofdm 是一种能够对抗由多径衰落信道造成的符号间干扰的有效技术,它可 在频率选择性衰落信道中实现高速率的无线通信。第三代移动通信系统的标准己 确定,第四代移动通信系统己处于研究和试验阶段。ofdm 技术作为一种高效的 调制技术,将成为第四代移动通信系统的关键技术之一。作为 ofdm 系统中关键 技术之一的信道估计,它的性能直接影响到未来移动通信的通信品质。开展这方 面的研究具有很强的理论和现实意义。 ofdm 技术的主要优缺点:技术的主要优缺点: 优点:优点: (1) 适用于多径环境和衰落信道中的高速数据传输 它将高速串行数据分割成多个子信号,降低码元速率,相应延长了码元周期; 当传输的符号周期大于最大延迟时间时就能够有效的减弱多径扩展的影响。所以 ofdm 对信道中因多径传输而出现的 isi 有很强的鲁棒性,系统总的误码率性能 好。 (2) 具有很强的抗信道衰落能力 在 ofdm 中由于并

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论