基于EDA的直流电机PWM控制设计毕业论文.doc_第1页
基于EDA的直流电机PWM控制设计毕业论文.doc_第2页
基于EDA的直流电机PWM控制设计毕业论文.doc_第3页
基于EDA的直流电机PWM控制设计毕业论文.doc_第4页
基于EDA的直流电机PWM控制设计毕业论文.doc_第5页
已阅读5页,还剩20页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

摘要论文以直流电机为研究对象,应用了fpga技术,设计出了一种全数字的步进电机控制系统。 本论文分析了直流电机工作原理及其具体的控制过程,并阐述了fpga的设计原理以及所涉及的相关芯片,然后对所用的硬件语言vhdl的知识进行简要地介绍,这些为论文的具体设计提供了理论基础。本系统针对实现直流电机的调速,设计了一种符合要求的并连续可调的脉冲信号发生器,对整个系统进行模块化设计,并且每个子模块都通过了仿真测试。系统采用模块化的设计思路,使系统的设计和维护更加方便,也提高了系统性能的可扩展性。fpga、vhdl以及eda工具构成的数字系统集成技术,是本设计的核心部分,该技术具有操作灵活、利用广泛及价廉等特点。系统设计采用全数字化的控制方案,使系统更紧凑、更合理及经济节约。由于系统的数字化,使整个系统运行得十分可靠,调试也极为方便。关键词:直流电机,可编程门阵列,硬件描述语言abstractin this paper, dc motor as the study, with the application of fpga technology, designs an all-digital stepper motor control system.this paper analyzes the dc motor works, as well as its specific control process, describes the fpga design principles and the related chips those are involved, then gives a brief introduction on the knowledge of applied hardware language vhdl , all these provides a theoretical basis for the specific design sections of the paper.the system against the achievement of the dc motor speed control, designs a continuously adjustable pulse signal generator that can meet the requirements, and modular programmings for the whole system, each sub-module has pasted the simulation tests. the system uses a modular design concept. its not only convenient for the system design and maintenance, but also improves the performance of the system scalability. fpga, vhdl and eda tools constitute the field of digital system integration technology, as a core part of the system design, the skill with operational flexibility, cheap and widely use. the system uses a fully digital control scheme, making the system more compact, more rational and economical. because the systems all digital, the operation of the whole system become very reliable, the debugging is convenient, too.key words:dc motor, programmable gate array, vhdl目录第1章 绪论11.1课题背景11.2研究的目的及其意义2第2章 电机的基本知识32.1直流电机的特点32.2直流电机基本结构32.2.1定子部分42.2.2转子部分42.3直流电机工作原理52.4直流电机pwm调速原理5第3章 fpga与硬件描述语言73.1现场可编程逻辑器件73.2硬件描述语言设计方法73.2.1硬件描述语言发展概况73.2.2 eda简要介绍83.2.3采用硬件描述语言的设计流程8第4章 设计原理及其实现过程104.1直流电机pwm调速方案设计104.2fpga内部逻辑组成114.3模块设计和相应模块程序124.3.1 pwm脉冲调制信号电路模块124.3.2 逻辑控制模块164.4 电路的总仿真图174.4.1正/反转控制仿真184.4.2 启/停控制仿真184.4.3 加/减速仿真194.4.4 仿真结果分析204.5总结21参考文献2222第1章 绪论1.1课题背景自从1985年xilinx公司推出第一片现场可编程逻辑器件(fpga)到现在,fpga已经经历了二十几年的发展历程。在这几十年的发展过程中,以fpga为代表的数字系统现场集成技术取得了惊人的发展。现场可编程逻辑器件从刚开始的1200个逻辑门,发展到90年代的25万个逻辑门,甚至到现今国际上fpga的著名厂商altera公司、xilinx公司又陆续推出了数百万门的单片fpga芯片,将现场可编程器件的集成度提高到一个新的水平。fpga的优点可以归纳为如下几点:效能,上市时间,成本,可靠性和长期维护五个方面。效能-透过硬件的平行机制,fpga 可突破依序执行 (sequential execution) 的固定逊算,并于每时脉循环完成更多作业,超越了数位讯号处理器(dsp) 的计算功能。bdti 作为著名的分析公司,并于某些应用中使用 dsp 解决方案,以计算 fpga 的处理效能。在硬件层级控制 i/o 可缩短回应时间并特定化某些功能,以更符合应用需求1。 上市时间-针对上市时间而言,fpga技术具有弹性与快速原型制作的功能。使用者不需进行asic设计的冗长建构过程,就可以在硬件中测试或验证某个观念。并仅需数个小时就可以建置其他变更作业,或替换 fpga 设计。现成的 (cots) 硬件也可搭配使用不同种类的 i/o,并连接至使用者设定的 fpga 芯片。高级软件工具正不断提升其适用性,缩短了抽象层 (layer of abstraction) 的学习时间,并针对进阶控制与信号处理使用 ip cores (预先建立的方式)。 成本-asic 设计的非重置研发 (nre) 费用,远远超过 fpga 架构硬件解决方案的费用。asic设计的初始投资,可简单认列于 oem 每年所出货的数千组芯片,但是许多末端使用者更需要定制硬件功能,以便用于开发过程中的数百组系统。而可程序化芯片的特性,就代表了低成本的架构作业,或组装作业的长前置时间。由于系统需求随时在变化,因此若与 asic 的庞大修改费用相比,fpga 设计的成本实在微不足道2。可靠性-正如软件工具提供程序化设计的环境,fpga 电路也为程序化执行的建置方式。处理器架构的系统往往具有多个抽象层,得以协助多重处理程序之间的作业与资源分享。驱动层 (driver layer) 控制硬件资源,而作为作业系统则管理记忆体和处理器频宽。针对任何现有的处理器核心来说,每次仅可执行1组指令码;而处理器架构的系统则可以连续处理重要作业。fpga 不需要使用作业系统,并将产生问题的几率降到最低,采用平行执行功能与专属精密硬件执行作业。长期维护-fpga 芯片为即时升级 (field-upgradable) 特性,不需要像asic 一般重新设计的时间与费用。fpga 具有可重设性质,可随时因应未来的需要而进行修改。当产品或系统趋于成熟时,不需耗时重新设计或修改配置,即可提升相关功能3。1.2研究的目的及其意义直流电动机因为具有良好的启动性能和宽广平滑的调速特性,从而被广泛应用于电力机车、无轨电车、轧钢机、机床和启动设备等这些需要经常启动并调速的电气传动装置中,直流发电机主要用作直流电源。此外,小容量直流电机大多在自动控制系统中以伺服电动机、测速发电机等形式作为测量、执行原件使用。当基于fpga的嵌入式系统时,在设计周期之初就不必为每个模块做出用硬件还是软件的选择。由于fpga中的逻辑单元是可编程的,可针对特定的应用而定制硬件。所以,仅使用所需要的硬件即可,而不必做出任何板级变动(前提是fpga中的逻辑单元足够用)。设计者不必转换到另外一个新的处理器或者编写汇编代码,就可做到这一点。使用带有可配置处理器的fpga可获得设计灵活性。设计者可以选择如何实现软件代码中的每个模块,如用定制指令,或硬件外围电路。此外,还可以通过添加定制的硬件而获取比现成微处理器更好的性能。另一点要知道的是,fpga有充裕的资源,可配置处理器系统可以充分利用这一资源。算法可以用软件,也可用硬件实现。出于简便和成本考虑,一般利用软件来实现大部分操作,除非需要更高的速度以满足性能指标。软件可以优化,但有时还是不够的。如果需要更高的速度,利用硬件来加速算法是一个不错的选择。fpga使软件模块和硬件模块的相互交换更加简便,不必改变处理器或进行板级变动。设计者可以在速度、硬件逻辑、存储器、代码大小和成本之间做出折衷。利用fpga可以设计定制的嵌入式系统,以增加新的功能特性及优化性能。目前,虽然由晶闸管整流组件组成的固态直流电源设备已基本上取代了直流发电机,但直流电动机仍因为其良好调速性能的优势在许多传动性能要求高的场合占据一定的地位,而fpga又具有很强的性能及其优势,基于fpga的直流电机的控制还是有应用价值1。第2章 电机的基本知识电机可分为变压器、异步电机、同步电机和直流电机四个机种。其中变压器是静止的电气设备,其余均为旋转电机。异步电机和同步电机均为交流电机。在本次设计中用到的是直流电机,直流电机是实现直流电能与机械能转的装置4。2.1直流电机的特点直流电动机与交流电动机相比较,具有良好的调速性能和启动性能。直流电动机具有宽广的调速范围,平滑的无级调速特性,可实现频繁的无级快速启动、制动和反转;过载能力大,能承受频繁的冲击负载;能满足自动化生产系统中各种特殊运行的要求。而直流发电机则能提供无脉动的大功率的直流电源,且输出的电压可以精确地调节和控制。但直流电机也有它显着的缺点:一是制造工艺复杂,消耗有色金属较多,生产成本高;二是运行的时候由于电刷与换向器之间容易产生火花,所以可靠性比较差,维护比较困难。所以在一些对调速性能要求不高的领域中己被交流变频调速系统所取代。但是在某些要求调速范围大、快速性高、精密度好、控制性能优异的场合,直流电动机的应用目前仍然占有较大的比重5 6。 2.2直流电机基本结构直流电机由定子(静止部分)和转子(转动部分)两大部分组成。2.1 直流电机的结构2.2.1定子部分定子部分包括机座、主磁极、换向极和电刷装置等。(1)机座机座有两个作用,一是作为电机磁路系统中的一部分,二是用来固定主磁极、换向极及端盖等,起机械支承的作用。因此要求机座有好的导磁性能及足够的机械强度和刚座,机座通常用铸钢或厚钢板焊成。(2)主磁极在大多数直流电机中,主磁极是电磁铁,如图2.1的n、s就是主磁极,主磁极铁芯用11.5mm厚的低碳钢板叠加而成,整个磁级用螺钉固定在机座上。主磁极的作用是在定转子之间的气隙中建立磁场,使电枢绕组在此磁场的作用下感应电动势和产生电磁转矩。(3)换向极换向极又称附加极或间极,其作用是以改善换向。换向极装在相邻两主磁极n、s之间,由铁心和绕组构成。铁芯一般用整块钢或钢板加工而成。换向极绕组与电枢绕组串联。(4)电刷装置在图2.1中,a、b表示电刷。它的作用是把转动的电枢绕组与静止的外电路相连接,并与换向器相配合,起到整流或逆变器的作用。2.2.2转子部分直流电机的转子称为电枢,包括电枢铁芯、电枢绕组、换向器、风扇、轴和轴承等。(1)电枢铁芯电枢铁芯是电机主磁路的一部分,且用来嵌放电枢绕组。为了减少电枢旋转时电枢铁芯中因磁通变化而引起的磁滞及涡流损耗,电枢铁心通常用0.5mm厚的两面涂有绝缘漆的硅钢片叠加而成。(2)电枢绕组电枢绕组是由许多按一定规律连接的线圈组成,它是直流电机的主要电路部分,也是通过电流和感应电动势,从而实现机电能量转换的关键部件。线圈用包有绝缘的导线绕制而成,嵌放在电枢槽中。每个线圈(也称组件)有两个出线端,分别接到换向器的两个换向片上。所有线圈按一定规律连接成一闭合回路。(3)换向器换向器也是直流电机的重要部件。在直流电动机中,它将电刷上的直流电流转换成绕组内的交流电流;在直流发电机中,它将绕组内的交流电动势转换成电刷端上的直流电动势。换向器由许多换向片组成,每片之间相互绝缘。换向片数与线圈组件数相同。2.3 直流电机工作原理直流电机的工作原理建立在电磁力和电磁感应的基础上,从图2.1可以看出主磁极n、s间装着一个可以转动的铁磁圆柱体,圆柱体的表面上固定着一个线圈abcd。abcd是装在可以转动的铁磁圆柱上的一个线圈,把线圈的两端分别接到两个圆弧形的铜片上(简称换向片),两者相互绝缘,铁芯和线圈合称电枢。当线圈中通入直流电流时,线圈边上受到电磁力f=bli,根据左手定则确定力的方向,这一对电磁力形成了作用于电枢的一个电磁转矩,转矩的方向是逆时针方向。若电枢转动,线圈两边的位置互换,而线圈中通过的还是直流电流,则所产生的电磁转矩的方向则变为顺时针方向,因此电枢受到一种方向交变的电磁转矩。这种交变的电磁转矩只能使电枢来回摇摆,而不能使电枢连续转动。显然,要使电枢受到一个方向不变的电磁转矩,关键在于,当线圈边在不同极性的磁极下,如何将流过线圈中的电流方向及时地加以变换,即进行所谓“换向”。为此必须增添一个叫做换向器的装置,换向器由互相绝缘的铜质换向片构成,装在轴上,也和电枢绝缘,且和电枢一起旋转。换向器又与两个固定不动的由石墨制成的电刷a、b相接触。装了这种换向器以后,若将直流电压加于电刷端,直流电流经电刷流过电枢上的线圈,则产生电磁转矩,电枢在电磁转矩的作用下就旋转起来。电枢一经转动,由于换向器配合电刷对电流的换向作用,直流电流交替地由线圈边ab和cd流入,使线圈边只要处于n极下,其中通过电流的方向总是由电刷a流入的方向,而在s极下时,总是从电刷b流出的方向。这就保证了每个极下线圈边中的电流始终是一个方向。这样的结构,就可使电动机能连续地旋转。这就是直流电机的基本工作原理7。2.4直流电机pwm调速原理所谓脉冲宽度调制是指用改变电机电枢电压接通与断开的时间的占空比来控制电机转速的方法,称为脉冲宽度调制(pwm)。对于直流电机调速系统,使用fpga进行调速是极为方便的。其方法是通过改变电机电枢电压导通时间与通电时间的比值(即占空比)来控制电机速度。pwm调速原理如图2.2所示。图2.2 pwm调速原理在脉冲作用下,当电机通电时,速度增加;电机断电时,速度逐渐减少。只要按一定规律,改变通、断电时间,即可让电机转速得到控制。设电机永远接通电源时,其转速最大为vmax,设占空比为d=t1/t,则电机的平均速度为 vd=vmaxd式中,vd电机的平均速度 vmax电机全通时的速度(最大) d=t1/t占空比 平均速度vd与占空比d的函数曲线,如图2.3所示。图2.3 平均速度和占空比的关系由图2.3所示可以看出,vd与占空比d并不是完全线性关系(图中实线),当系统允许时,可以将其近似地看成线性关系(图中虚线)。因此也就可以看成电机电枢电压ua与占空比d成正比,改变占空比的大小即可控制电机的速度。 由以上叙述可知:电机的转速与电机电枢电压成比例,而电机电枢电压与控制波形的占空比成正比,因此电机的速度与占空比成比例,占空比越大,电机转得越快,当占空比1时,电机转速最大。第3章 fpga与硬件描述语言在本章中首先介绍fpga的基本知识,以及所要涉及的芯片;在此基础上介绍设计中需要应用的硬件语言,以便使下面的设计更加完整,并方便阅读。3.1现场可编程逻辑器件在现场可编程逻辑器件领域,目前主要的产品主要分为3大类。(1)基于sram编程的fpga所谓基于sram编程的fpga,从结构上而言,主要是由3个部分组成:可编程逻辑块(clb)、可编程输入/输出模块iob和可编程内部连线pl组成。(2)基于prom或eeprom编程的cpld基于eprom或eeprom编程的cpld,主要由可编程i/o模块、可编程逻辑单元模块(lb)、可编程布线池(pia)组成。其特点是:芯片功能的定义是由阵列分布eprom或eeprom型的下拉mos开关来控制。(3)基于一次性编程的反熔丝fpga反熔丝fpga的主要特点是功耗低,布线通路丰富,逻辑元件粒度小。另一方面,众所周知,采用反熔丝技术的fpga尽管具有许多优点,但是却有一个致命的弱点,也就是只能进行一次性编程,这就为大规模fpga产品的开发带来了许多不便。为了弥补这一不足,近年来,一种新型的集高密度、低功耗、非易失性和可重新编程于一身的非易失性、可重新编程的门阵列已经推向市场。(4)fpga未来发展方向以fpga、cpld为代表的现场可编程逻辑电路的主要发展方向是:为了迎接系统级芯片时代,向着密度更高、速度更快、频带更宽的数百万门超大规模的方向发展。为了方便用户设计和特殊功能的应用,向着嵌入通用或者标准功能模块方向发展。为了适应全球环保潮流,向着低压、低功耗的绿色组件方向发展3。3.2硬件描述语言设计方法3.2.1硬件描述语言发展概况硬件描述语言(hardware description language)是硬件设计人员和电子设计自动化(eda)工具之间的界面。其主要目的是用来编写设计文件建立电子系统行为级的仿真模型,即利用计算机的巨大能力对用verilog hdl或vhdl建模的复杂的数字逻辑进行仿真.然后再自动综合从而生成符合要求且在电路结构上可以实现的数字逻辑网表(netlist),根据网表和某种工艺的器件自动生成具体电路.然后生成该工艺条件下这种具体电路的延时模型,仿真验证无误后,用于制造asic芯片或写入epld和fpga器件中5。 在eda技术领域中把用hdl语言建立的数字模型被称为软核(soft core),把用建模和综合后生成的网表称为固核(hard core),对这些模块的重复利用不仅缩短了开发时间,而且还提高了产品开发率和设计效率8。 3.2.2 eda简要介绍20世纪后半期,随着集成电路和计算机的不断发展,电子技术面临着严峻的挑战。由于电子技术发展周期不断缩短,专用集成电路(asic)的设计面临着难度不断提高与设计周期不断缩短的矛盾。为了解决这个问题,必须采用新的设计方法和使用高层次的设计工具。在此情况下,eda(electronic design automation,电子设计自动化)技术应运而生。eda技术就是以计算机为工作平台,以eda软件工具为开发环境,以硬件描述语言为设计语言,以可编程器件为实验载体,以asic、soc芯片为目标器件,以数字逻辑系统设计为应用方向的电子产品自动化设计过程。随着现代半导体的精密加工技术发展到深来微米(0.18um-0.35um)阶段,基于大规模或超大规模集成电路技术的定制或半定制asic 器件大量涌现并获得广泛的应用研究,使整个电子技术与产品的面貌发生了深刻的变化,极大地推动了社会进程。而支撑这一发展进程的主要基础之一就是eda技术。eda技术在硬件方面融合了大规模集成电路制造技术,ic版图设计技术、asic测试和封装技术、cpld/fpga技术等;在计算机辅助工程方面融合了计算机辅助设计cad、计算机辅助制造cam、计算机辅助测试cat技术及多种计算机语言的设计概念,而在现代电子学方面则融合了更多的内容,如数字电路设计理论、数字信号处理技术、系统建模和优化技术等。因此eda技术为现代数字系统理论和设计的表达与应用提供了可能性,它已不是某一学科的分支,而是一门综合性学科。eda技术打破了计算机软件与硬件间的壁垒,是计算机软件技术与硬件实现、设计效率和产品性能的合二为一,它代表了数字电子设计技术和应用技术的发展方向。3.2.3采用硬件描述语言的设计流程(1)自顶向下(top-down)设计的基本概念利用层次化、结构化的设计方法,一个完整的设计任务首先是由设计师划分为若干个可操作的模块,编制出相应的模型;在通过仿真验证后,然后把模块分给下一层的设计者。这就允许多个设计者同时设计一个硬件系统中的不同模块,其中每个设计者都有负责自己所承当的部分,而由上一层设计师对其下层设计者完成的设计用行为级上层模块对其设计进行验证。为了提高设计质量,一部分模块可以通过商业渠道得到,这样可以节省开发时间和经费。自顶向下设计是从系统级开始,把系统划分为基本单元,新产品的开发总是系统设计入手,先进行方案的总体论证、功能描述、任务以及指标的分配。(2)层次管理的基本概念复杂数字逻辑电路和系统的层次化、结构化设计隐含着对系统设计方案的逐次分解。在设计过程中的任意一个层次,至少得有一种形式来描述硬件。硬件描述通常称为行为建模。在集成电路设计的每一层次,硬件可以分为一些模块。该层次的硬件结构由这些模块互相描述。这些模块称为该层次的基本单元,而该层次的基本单元又有下一层次的基本单元互连而成。(3)具体模块的设计编译和仿真过程在不同的层次做具体模块的设计所用的方法也有所不同。在高层次上往往编写一些行为级的模块通过仿真加以验证,其主要的目的是系统性能的总体考虑和各模块的指标分配,并非具体电路的实现,因此综合以上的步骤往往不需要进行;而当设计接近底层时,行为描述往往要用电路逻辑来描述实现。此时模块不但需要通过仿真加以验证,而且还要通过进行综合、优化和后仿真。总之,具体电路是从底向上逐步实现的。(4)对应具体工艺器件的优化、映像和布局布线由于各种asic和fpga器件的工艺各不相同,因此当用不同厂家的不同器件来实现已验证的逻辑网表(edif文件)时,就需要不同的基本单元库与布线延迟模型与之对应,才可以进行准确的优化、映像以及布局布线。第4章 设计原理及其实现过程4.1直流电机pwm调速方案设计图 4.1 基于fpga的直流电机调速系统如图4.1所示为基于fpga的直流电机调速方案的方框图,用fpga产生pwm波形,只需要fpga内部资源就可以实现,如数字比较器、锯齿波发生器等均为fpga内部资源,我们只要直接调用就可以。外部端口u_d、en1、z/f、start接在键盘电路上,clk2和clk0接在外部时钟电路上。其工作原理是:设定值计数器的设置pwm的占空比。当u/d=1时,输入clk2,使设定值计数器的输出值增加, pwm的占空比增加,电机转速加快;当u/d =0时,输入clk2,使设定值计数器的输出值减小,pwm的占空比减小,电机转速变慢。在clk0的作用下,锯齿波计数器输出周期性线性增加的锯齿波。当计数值小于设定值时,数字比较器输出高电平;当计数值大于设定值时,数字比较器输出低电平,由此产生周期性的pwm波形。旋转方向控制电路控制直流电动机转向和启/停,该电路由两个2选1的多路选择器组成,z/f键控制选择pwm波形是从正端z进入h桥,还是从负端f进入h桥,以控制电机的旋转方向。当z/f=1时,pwm输出波形从正端z进入h桥,电机正转。当 z/f =0时,pwm输出波形从负端f进入h桥,电机反转。start是电机的开启端,u_d控制电机加速与减速,en1用于设定电机转速的初值,z_f是电机的方向端口,选择电机运行的方向。clk2和clk0是外部时钟端,其主要作用是向fpga控制系统提供时钟脉冲,控制电机进行运转。通过键盘设置pwm信号的占空比。当u_d=1时, 表明键u_d按下,输入clk2使电机转速加快;当u/d =0,表明键u_d松开,输入clk2使电机转速变慢,这样就可以实现电机的加速与减速。start是电机的开启键,当start=1,允许电机工作;当start=0时,电机停止转动。h桥电路由大功率晶体管组成,pwm输出波形通过由两个二选一电路组成的方向控制电路送到 h 桥, 经功率放大以后对直流电机实现四象限运行。并由en1信号控制是否允许变速9。4.2 fpga内部逻辑组成图4.2 fpga直流电机pwm 控制电路由图4.2可以看出电机控制逻辑模块由pwm脉宽调制信号产生电路、方向控制电路组成。其中pwm脉宽调制信号产生电路由可控的加减计数器cnta、5位二进制计数器cntb、数字比较器lpm_compare三部分组成,方向控制电路由两个二选一电路21mux组成。接着就对pwm脉宽调制信号产生电路的vhdl描述与仿真、方向电路的vhdl描述与仿真进行详细的分析。4.3模块设计和相应模块程序4.3.1 pwm脉冲调制信号电路模块pwm脉宽调制信号产生电路由可控的加减计数器cnta、5位二进制计数器cntb、数字比较器lpm_compare三部分组成。可控的加减计数器做细分计数器,确定脉冲宽度。当u/d=1时,输入clk2,使设定值计数器的输出值增加,pwm的占空比增加,电机转速加快;当u/d =0,输入clk2,使设定值计数器的输出值减小,pwm的占空比减小,电机转速变慢。5位二进制计数器在clk0的作用下,锯齿波计数器输出周期性线性增加的锯齿波。当计数值小于设定值时,数字比较器输出高电平;当计数值大于设定值时,数字比较器输出低电平,由此产生周期性的pwm波形。其内部逻辑图如图4.3所示。图 4.3 fpga中的pwm脉宽调制信号产生电路在本次设计中直流电机转速进行了32级细分。细分计数器的初值设为08h,当计数器cntb的值小于8时,agb输出高电平,当计数器cntb的值大于8时,agb的输出值为低电平,从而产生pwm波形。图4.4 a4.0=08h时电机加速pwm波形通过改变细分计数器的值就可以改变pwm的占空比,从而改变直流电机的速度。在图4.4中占空比d=8/32=0.25,在图4.5中占空比d=4/32=0.125。通过以上两组数据比较以及分析仿真波形我们可以看出,只要改变使能端电平的高低,便可以改变细分计数器的值,也就是改变细分计数器cnta的初值,从而可以改变直流电机的占空比,改变直流电机的速度。图4.5 a4.0=04h时电机减速pwm波形调节pwm波的占空比是电机调速的重要手段,若脉宽计数器cnta的值逐渐增大,输出脉冲的开启时间变大,pwm占空比逐渐变大,功率器件输出给电机电枢的能量增加,电机加速。若脉宽计数器定时器cnta的值减小,输出脉冲的开启时间变小,pwm占空比逐渐变小,功率器件输出给电机电枢的能量减少,电机减速。当电机得到加速信号,占空比增大至它可调范围的最大值后保持,电机得到减速信号,占空比减小至它的可调范围的最小值后保持。(1)可控加减计数器模块可控加减计数器cnta是一个双向计数器, 可以进行加减计数,由u_d控制其加/减计数方向, clk是计数时钟输入端。为了便于连续变速控制, 在计数器的clk端通过“与”门, 加入了clk2外部变速控制附加时钟, 并由en1信号控制是否允许变速。u_d=1时,加减计数器cnta在脉冲clk2的作用下,每来一个脉冲,计数器cnta加1,u_d=0时,每来一个脉冲,计数器cnta减1。使能端en1设定计数器值的初值,当en1由1变为0的时候,无论u_d如何表化,计数器的值都不会发生变化,这样就完成了可控加减计数器的设定值,其仿真波形如图4.6所示, 其vhdl语言如下。library ieee;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnta is port(clk:in std_logic; u_d:in std_logic; cq:out std_logic_vector(4 downto 0);end cnta;architecture behav of cnta is signal cqi:std_logic_vector(4 downto 0);begin process(clk)begin if clkevent and clk=1then if u_d=1 then if cqi=31 then cqi=11111; else cqi=cqi+1; end if; elsif cqi=0 then cqi=00000; else cqi=cqi-1;end if; end if; end process; cq=cqi; end behav;图 4.6 可控加减计数器的仿真波形(2)4.3.1.2 二进制计数器电路模块cntb是一个简单的5位二进制计数器,它的工作原理和cnta的原理很相似,我们只是在cnta的时钟端加了一个使能端en1控制其加减的方向。而cntb的时钟端没有加使能端,所以每来一个脉冲计数器加1,因为cntb是一个5位的二进值计数器,所以当计数器的值当大于32时,计数器又重新从0开始记数,从而产生周期性的线性增加的锯齿波。其仿真波形如图4.7, 其vhdl语言如下。entity cntb is port(clk: in bit; q:buffer integer range 31 downto 0); end;architecture bhv of cntb is begin process(clk) begin if clkevent and clk=1 then q=q+1; end if; end process; end bhv;图4.7 5位二进制计数器仿真波形(3)4.3.1.3 数字比较器模块数字比较器是产生pwm波形的核心组成部件,可控的加减计数器cnta和5位二进制计数器cntb同时加数字比较器lpm-compare两端作为两路输入信号,当计数器cntb输出值小于细分计数器cnta输出的规定值时, 比较器输出高电平; 当cntb输出值不小于细分计数器cnta输出的规定值时, 比较器输出低电平。改变细分计数器的设定值, 就可以改变pwm输出信号的占空比。为了便于观察防真波形,我在cntb的输出加上b4.0,仿真波形如图4.8。图4.8 数字比较器的仿真波形4.3.2 逻辑控制模块如图4.9所示fpga中的工作/停止控制和正/反转方向控制电路,其两个二选一多路选择器加上两个与门根据逻辑原理组合而成。start键通过“与”门控制pwm输出,实现对电机的工作/停止控制。当start端接高电平时,表示电源接通,电机开始运转;当start端接低电平时,电机停止运转。z/f键控制选择pwm波形是从正端z进入h桥,还是从负端f进入h桥,以控制电机的旋转方向。当z/f=1时pwm输出波形从正端z进入h桥,电机正转。当 z/f =0时pwm输出波形从负端f进入h桥,电机反转。仿真如图4.10所示。图4.9 fpga中的工作/停止控制和正/反转方向控制电路图4.10 正/反转工作控制电路波形当start=1时,与门打开,允许电机工作。当start=0时,与门关闭,电机停止转动。仿真如图4.11所示。图4.11工作/停止电路波形4.4 直流电机pwm调速系统仿真在本次设计中,需要满足的技术要求是设计具有正/反转,起/停控制功能、速度在线可调的直流电机控制装置。接下来就用仿真波形详细的说明本次设计的电路满足以上的所有要求。4.4.1正/反转控制仿真键盘z_f是电机的方向控制键。当要求电机正转时,只需要按下键z_f,表示z_f输出高电平,即z_f=1,电机正转,如图4.12所示。当键z_f松开时,z_f0时,电机反转,如图4.13、图4.14所示。图4.12 电机正转图4.13 电机反转图4.14 电机正反转4.4.2 启/停控制仿真start键是电机的启动键,当按下start键时,start=1,电机进入运行状态,如图4.15所示。反之,start=0时,电机停止,如图4.16、图4.17所示。图4.15 启动仿真波形图4.16 停止仿真波形图4.17 启/停仿真波形4.4.3 加/减速仿真键盘en1控制电机是否允许变速。所以通过改变en1便可以改变设定值h4.0的值,也就是设定值的初值,从而改变了直流电机的占空比,改变直流电机的速度,达到调速的目的。因为cntb是5位的计数器,所在本设计中直流电机转速细分为32级。如图4.18的占空比为2/32=0.0625,同理通过按键en1该变h4.0的值便得到如图4.19、4.20的pwm仿真波形,其占空比依次为0.125、0.25,也就是占空比增大,电机的速度增加。根据以上的数据比较与仿真波形的分析可以看出,电机的速度在逐渐的增加。所以通过改变en1的值可以改变直流电机的pwm占空比,从而改变直流电机的速度。图4.18 h4.0=02h仿真波形图4.19 h4.0=04h仿真波形图4.20 h4.0=08h仿真波形4.4.4 仿真结果分析通过4.4.1到4.4.3的仿真波形分析可知,本设计中的各项功能够很好的实现。在时钟脉冲的作用下,计数器cnta和cntb都能按照事先设定好的规则进行计数。cnta是可控的加减计数器,u_d控制其计数的方向,en1用于设定其初值,当ne1由高电平变为低电平时,就完成了设定值。cntb是5位二进制计

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论