基于FPGA方向的大型屏幕显示系统设计毕业设计1.doc_第1页
基于FPGA方向的大型屏幕显示系统设计毕业设计1.doc_第2页
基于FPGA方向的大型屏幕显示系统设计毕业设计1.doc_第3页
基于FPGA方向的大型屏幕显示系统设计毕业设计1.doc_第4页
基于FPGA方向的大型屏幕显示系统设计毕业设计1.doc_第5页
已阅读5页,还剩26页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

湖南工业大学本科毕业设计(论文)基于fpga方向的大型屏幕显示系统设计摘 要主要研究基于altera公司fpga芯片的电子显示屏的研究,配备相应的pc机软件,可实现合拢、开帘、上下左右移动等显示形式,并可显示时钟。具体内容:1.fpga系统设计;2.显示接口电路的设计;3.时钟接口电路的设计;4. fpga系统与pc机通讯接口(rs232)的设计。fpga控制模块控制时钟模块、点阵显示模块、上位机通信模块的协同工作,并分析、处理接收的数据。时钟模块完成对时钟芯片的读写,包括时钟初始化和时钟信息读取,最终用数码管显示时钟。点阵显示模块实现led点阵的驱动和显示功能。驱动部分使用移位寄存器74hc595和移位寄存器 74hc164 组成,74hc595 负责列扫描数据,74hc164 负责行扫描数据。行扫描采用三极管放大电流,加大扫描强度,提高点阵屏亮度。led点阵是由16个大小为 32mm*32mm 的8*8共阳点阵组成16*64 点阵,可以显示四个汉字,点阵屏可拆装,采用圆孔铜排针,连接性能非常好。串口通信部分通过rs232串口实现。用户可以通过上位机软件发送待显示的数据给fpga控制系统。采用fpga为核心控制模块,通过接收上位机数据控制led点阵显示信息,同时通过键盘来控制显示方式,并且在数码管上显示实时时钟。软件部分根据各芯片的时序图进行模块编程,消除了竞争和冒险。通过编程、调试、仿真、下载正确地实现了合拢、开帘、上下左右移动等显示形式,其硬件系统的实验验证也获得了与软件模拟仿真结论相吻合的结果。 关键词:fpga控制模块,串行通信电路,led点阵及驱动电路 ,时钟模块abstractthe main research based on alteras fpga chip electronic display, a pc equipped with the appropriate software, can be realized together and open the curtains, move around the display in the form, and can display the clock. specific content: 1.fpga system design; 2 shows the design of the interface circuit; 3 clock interface circuit design; fpga system and pc, the design of the communication interface (rs232). fpga control module controls the clock module, dot matrix display module, the pc communication module to work together and to analyze and process the received data. the clock module clock chip to read and write, including clock initialization and clock information read the final clock with digital display. dot-matrix display module led dot matrix drive and display functions. drive using a shift register 74hc595 shift register 74hc164 74hc595 is responsible for column scan data, the 74hc164 is responsible for the line scan data. line scan using current transistor amplifier to increase the scanning strength, improve dot matrix screen brightness. led dot matrix 16 size 32mm * 32mm 8 * 8 common anode dot matrix composed of 16 * 64 dot matrix, you can display four characters, dot matrix screen is removable, round hole copper pin connection performance good. serial communications through the rs232 serial interface. users can use the software to send data to be displayed to the the fpga control systems. using fpga as the core control module, led dot matrix display is controlled by the host computer receives data through the keyboard at the same time to control the display and digital display real-time clock. software are based in part on the timing diagram of each chip module programming, eliminating the competition and adventure.programming, debugging, simulation, download the correct close, open curtain, move around the display in the form of the experimental verification of the hardware system is also consistent with the conclusions of software simulation results. keywords: fpga control module, serial communication circuit, led dot matrix drive circuit, clock module湖南工业大学本科毕业设计(论文)第1章 绪 论 1.1研究现状 led照明就像是近年来高速发展的交通,迅速崛起,近几年来年增长率几近超过60%,而随着led发光效率的不断提升,封装技术的不断改进,驱动能力和寿命的增加,led照明技术在未来几年内会逐渐进入千家万户。随着世界各国环保议题逐渐重视,led照明产业页将扮演愈来愈重要的角色,其主要产品是应用于室内、室外照明以及街灯等高功率产品。据悉,2009年全球照明市场约1219亿美元,而led就占了其中的0.5%,可见其有一个很好的发展前景。其中,又以亚太地区的市场规模最大,约占全球比例的33.7%,其次是北美和欧洲,分别占30.1%和27.4%。在应用产品中,户外照明约占总比重的12%,具有相当大的发展空间,而在此当中中国市场占据了全球市场的38%,成为户外照明中占有率最高的。此外,在受政府政策及推广影响下的街灯应用有望成为照明产业中成长最快的。在欧美优先领起的趋势下,2010年全球达到450万盏led街灯的水平,并且伴随着国际加紧节能减碳的节奏,一旦路灯标准规格普及,中国市场有望突破占有世界50%以上的比例。led驱动器技术的发展主要体现在两方面:一方面,日常生活中常用的卤素灯、白炽灯和荧光灯将被离线式高功率因数校正可调光led驱动器替代;另一方面,低压卤素灯也将被led驱动器能高效替代。在为led提供电能及热能保护的前提下,以增加其耐用性。当然,优化驱动器以提供最佳功效也成为一大发展趋势。随着数字技术的快速发展,基于fpga芯片控制全彩led大屏幕图像显示系统设计,各种数字显示屏也像雨后春笋随即涌现出来,像lcd、led、dlp都是其中最典型的代表,而各种数字大屏幕的控制系统也多种多样,有用pc+dvi接口解码芯片+fpga芯片联机控制系统的,也有用arm+fpga脱机控制系统的。尽管大尺寸液晶显示在未来的几年还有相当大的市场份额,但针对大尺寸直下式背光源的驱动和控制芯片的开发的历史并不悠久。针对led背光源的特点,可以将信号处理技术和复杂的控制技术融合到背光源技术中,目前的做法主要是采用fpga,各厂家均有自家不同的控制方法和算法。随着数字电视技术和背光技术的发展,背光的控制方法及驱动方法越来越规范化,为了降低成本,将屏显控制、背光控制单元和电视的机芯微处理器由一个微处理器统一实现也将是一种技术发展的趋势。 如今,verilog hdl设计成为中国台湾地区和美国逻辑电路设计和制造厂家的一大设计主流,而中国大陆地区目前学习使用verilog hdl也已超过了vhdl。从使用的角度看,对于集成电路(asic)设计人员来说,更多的是掌握verilog,因为在ic设计领域,有90以上的公司采用verilog进行ic设计。而对于pld/fpga设计者而言,两种语言区别不是很大。 1.2 研究意义 随着社会的快速发展,led显示屏作为一种大型平板显示设备,以其功耗低、使用寿命长、维护费用低等特点在显示屏领域占据着非常重要的位置。特别是在近年,带有红、蓝、绿三基色以及灰度显示效果的全彩led显示屏,以其丰富多彩的显示效果而倍受业界关注,成为led显示屏市场近年增长幅度较大的产品之一。单位面积亮度、寿命、三基色的偏差程度、对比度、点距、灰度等级(包括灰度级数和线性度)、扫描频率等性能指标是衡量或横向比较大型显示设备优劣的标准。而这些性能指标的优劣,很大程度决定于扫描控制器的一个性能。因此对大屏幕全彩led显示扫描控制方法的研究有着重要的意义。随着显示亮度要求的增加、屏尺寸的扩大,数据传输和控制的时间也会增加,如果仍然采用简单的单片机作为控制器,将会影响画面显示的效果,严重时可能导致无法正常工作,然而,如果控制器采用可编程逻辑器件,则可解决这一难题。一方面,随着生产工艺的提高和微电子技术的发展,器件的性能会有很大的提高,从而出现了高性能的现场可编程逻辑器件(fpga),fpga具有处理速度高、高容量、可靠性高和集成度高等特点,在大屏幕显示系统设计中使用fpga可以满足现在的led大屏幕系统对于处理视频数据的高速要求,同时改善电路的性能,缩小系统的体积。设计中使用硬件描述语言进行电路设计,可以随时根据设计需要进行修改,而不必对硬件进行修改,它使系统的设计和调试非常方便,不仅大大的缩短了产品的开发周期,降低了开发成本,也方便以后的系统升级。1.3 研究内容本课题为基于altera公司fpga芯片的电子显示屏的研究设计,并配备相应的pc机软件,从而实现开帘、合拢、上下、左右移动等多种显示形式,并可显示相应的时钟。具体内容为:1.fpga整体系统的设计;2.时钟接口电路的设计;3.显示接口电路的设计; 4. fpga系统与pc机通讯接口(rs232)的设计。第2章 系统组成及工作原理2.1 系统组成本设计要求使用altera公司的fpga芯片完成64*16点阵式led点阵显示屏的显示,配备相应的pc机软件,实现上位机与下位机的通信,下位机实现开帘、合拢、上下、左右移动等多种显示形式,并且能够显示时钟。基于fpga的led点阵显示屏的系统框图如下图2.1所示:时钟模块串口通信 点阵显示模块fpga控制系统上位机图2.1 系统总体框图fpga控制模块控制点阵显示模块、时钟模块及上位机通信模块的协同工作,并分析、处理接收相应的数据。时钟模块完成对时钟芯片的读写,包括对时钟的初始化和时钟信息的读取,并最终在数码管上显示出来。 点阵显示模块实现的是led点阵的驱动及其显示功能。驱动部分使用移位寄存器74hc595和移位寄存器 74hc164 组成,74hc595 主要负责列扫描数据,74hc164 主要负责行扫描数据。行扫描采用三极管进行电流放大,加大扫描强度,从而提高点阵屏亮度。led点阵是由16个大小为 32mm*32mm 的 8*8 共阳点阵组成64*16 点阵,可以同时显示四个汉字或者八个字母,点阵屏可拆装,采用的是圆孔铜排针,连接性能非常好。串口通信部分通过rs232串口实现。用户可以通过上位机软件发送需要显示的数据给fpga控制系统。2.2 工作原理 本设计的工作原理为:采用以fpga为核心控制模块,通过接收上位机数据控制led点阵显示系统。同时通过键盘来控制几种显示方式,并在数码管上显示实时时钟。软件部分根据各芯片的时序图进行相应的模块编程,从而消除竞争和冒险。第3章 基于fpga的led点阵硬件设计3.1 方案论证与比较3.1.1 fpga芯片选择当今fpga芯片的主要设计和生产厂家有altera、atmel、xilinx、lattice等公司。其中以xilinx、altera公司规模最大,他们的市场占有率也是最高的。相对于xilinx而言, altera更适合于教学使用,因为对于初学者来说,他的入门门槛较低,学起来简单。更重要的是,altera公司还给学习者提供很好的后续服务和支持。而在工艺方面,xilinx公司和altera公司生产的fpga都是基于sram的工艺的,需要在使用时外接一个片外存储器用以保存程序。上电时,fpga将外部存储器中的数据读入片内ram,完成配置后,进入正常工作状态;掉电后,恢复为白片,内部逻辑消失。这样的好处在于不仅能够反复使用,还不需要专门的fpga编程器,只需通用的prom、eprom编程器即可。本设计采用较为普遍的altera公司的ep2c8q208c芯片。这块芯片不仅内部资源丰富,而且支持nios,无论在性价比还是将来进行功能拓展上都占据着绝对的优势。3.1.2 led点阵屏及驱动电路本设计采用64*16led点阵屏由16块8*8led点阵拼接而成,每一块点阵都有8行8 列,因此总共有16根行控制线和64根列控制线。方案一:在led点阵驱动电路中,采用1片4线/16线译码器74hc154作为行驱动,选用4片74hc154占用15个fpga的i/o口(包括两个enable端)。方案二:使用四个移位寄存器74hc595(带存储器) 和两个移位寄存器 74hc164 驱动16*16的点阵,74hc595 主要负责列扫描数据,而74hc164 主要负责行扫描数据。行扫描采用三极管放大电流,加大扫描强度,从而提高点阵屏亮度。最终只需要制作四块同样的板子拼凑成64*16led点阵。方案比较及确定:首先,考虑i/o口数量的占用,方案一使用了15个i/o口,而方案二只使用了5个,相对而言方案二使用了更少的i/o口。其次,考虑软件编程,采用译码方式(方案一)驱动可以使代码简化,而采用移位方式(方案二)驱动的可使他的拓展性更强。再次,考虑到一个制作成本,一片74hc154芯片大约四元,而一片74hc595芯片和74hc164芯片只需0.7元。最后,考虑功率损耗,两种方案使用的coms芯片功耗都非常小。所以,综上所得,本设计宜采用方案二,不仅能够大大的减少i/o资源的占用量,而且还能使制作成本缩减。3.1.3时钟芯片dallas公司推出的ds1302芯片是一种涓流充电的时钟芯片,内部含有31字节静态ram和一个实时时钟/日历。同时,可以提供时分秒、日期、年月等信息,更重要的是,每月的天数和闰年的天数都可相应的自动调整。时钟操作可通过am/pm指示决定是采用24小时制式还是采用12小时制式。3.1.4 串行通信模块输入接口模块提供的是pc上位机到fpga核心板传输数据的接口。输入接口通过rs232以及jtag下载线来实现从pc上位机传输数据到下位机。上位机使用相应的字模提取工具,将下一步需要显示的数据发送至下位机,而pc和nios系统间的通信是通过jtag下载线来实现的。而rs232串口连接也实现了fpga核心板与led显示模块之间的通信。3.2 fpga控制模块 fpga控制模块的电路是系统控制和数据处理的核心,该部分电路主要由电源接口、开关、相应的时钟振荡电路和复位电路组成。3.2.1 电源接口及开关电路图 3.1 电源接口及开关电路 如图3.1所示,其中f1为限流1.1a的f110保险管,主要目的在于保护电源。3.2.2 复位电路如图3.2所示,该复位电路主要实现的是对系统进行初始化。在没有按下按键的情况下,key读取到的是高电平。而在按下键之后,key拉低。整个过程当中,一次复位后产生一脉冲信号,触发芯片在下降沿时进行复位。 图3.2 复位电路3.2.3 时钟振荡电路 如图3.3所示,x1为20mhz的有源晶振。 图3.3 时钟振荡电路3.3 led点阵及驱动电路 3.3.1 led点阵点阵屏可分为共阳式点阵屏和共阴式点阵屏两种,本次毕业设计采用的是共阳型的点阵屏,如图3.4所示。8*8点阵屏显示的原理是利用其行列导通其中的led进而控制64个led的亮灭。使用4块8*8led点阵屏就可以组成一个16*16的点阵屏,它能够显示一个汉字或者是两个字母。图3.4 8*8点阵原理图 3.3.2 led点阵驱动电路驱动部分使用四个带存储器的移位寄存器 74hc595和两个移位寄存器 74hc164 组成,74hc595负责列扫描数据,74hc164负责行扫描数据。列扫描采用三极管放大电流,加大扫描强度,提高点阵屏亮度。3.4 时钟模块时钟模块包括时钟芯片和时钟显示模块。3.4.1时钟芯片电路ds1302实时时钟可提供秒、分、时、日、星期、月和年等时间,如果一个月的天数小于31天时还可以进行自动调整,同时还具有闰年补偿功能。工作电压宽度为2.55.5v。采用的是双电源供电,在有主电源的情况下还配备一个备用电源,用户可设置备用电源充电方式,以便提供对后背电源进行涓细电流充电。ds1302的外部引脚分配如图3.5所示,内部结构如图3.6所示。ds1302主要用于数据记录,特别是在对某些具有特殊意义的数据点的记录上,能够实现同步计时,因此测量系统中应用非常广泛。图3.5 ds1302的外部引脚分配图3.6 ds1302的内部结构3.4.2时钟显示电路本设计主要采用六位独立的数码管分别显示时钟。电路如图3.7所示。数码管可分为共阳极数码管和共阴极数码管。本次设计采用的是共阳极数码管。每一个数码管通过一个9012三极管进行电流放大。9012为pnp型三极管,当sel端出现低电平时,数码管被选通。反之,当sel拉高时,数码管不显示。图3.7 数码管显示 3.5 串行通信电路串行通信电路由rs232串口电路和jtag接口电路共同组成。rs232串口用于上位机与下位机的数据传输,而jtag接口用于程序下载与调试。3.5.1 rs232串口电路fpga的电平为ttl电平(即:高电平 +3.3v,低电平 0v),而计算机串口电平为rs232电平(即:高电平 -12v,低电平 +12v),所以,计算机与fpga芯片之间进行通讯时需要加电平转换芯片。rs232串口电路如图3.8所示:图3.8 rs232串口电路图3.8中,rs232串口电路中使用max232cpe作为电平转换芯片,通过串口线连接到计算机的com口(9针d形口),用于fpga与上位机通信以及和其他串口设备的数据交互。3.5.2 jtag下载接口jtag下载接口电路如下图3.9所示,用于调试fpga。jtag下载不仅下载速度快,而且支持signaltap,但是,不能编程epcs芯片,掉电后会造成数据丢失。使用jtag时需要配合usb blaster进行下载调试。 图3.9 jtag下载接口电路 图3-5 jtag下载接口电路第4章 基于fpga的led点阵显示的软件设计4.1 verilog hdl编程语言及编译器概述4.1.1 verilog hdl语言综述常用的硬件描述语言有vhdl、verilog dhl和abel语言。常用的fpga的编程语言有二种,一种是verilog hdl,一种是vhdl。将verilog hdl语言和vhdl语言进行对比,我们能够看到,verilog hdl语言是一种非常容易掌握的硬件描述语言,较之而论vhdl语言则是一个规模庞大的语言,在使用它之前完全学会它是非常难的。verilog是专门为复杂数字系统的设计仿真而开发的,本身就非常适合复杂数字逻辑电路和系统的仿真和综合。由于verilog在其门级描述的底层,也就是在晶体管开关的描述方面比vhdl有更强的功能,所以,即使是vhdl的设计环境,在底层实质上也是由verilog hdl描述的器件库所支持的1。verilog适合系统级(system)、算法级(alogrithem)、寄存器传输级(rtl)、逻辑级(logic)、门级(gata)、电路开关级(switch)设计,而systemverilog 是verilog语言的扩展和延伸,更适用于可重用的可综合ip和可重用的验证用ip设计,以及特大型(千万门级以上)基于ip的系统级设计和验证。verilog hdl作为一种高级的硬件描述编程语言,与c语言的风格有很多类似之处。其中有许多语句,如if语句、case语句和c语言中的相应语句都很相似。4.1.2 quartus ii 软件综述altera公司的quartus ii设计软件提供了完整的多平台设计环境,能够直接满足特定的设计需要,为可编程芯片系统(sopc)提供全面的设计环境。quartus ii软件含有fpga和cpld设计所有阶段的解决方案,如图4.1所示。图4.1 quartus ii设计流程图quartus ii设计工具支持基于verilog hdl、vhdl和图形的设计,其内部嵌有vhdl、verilog hdl逻辑综合器。quartus ii 可以利用第三方的综合工具进行逻辑综合,当然也可以利用第三方的仿真工具(如modelsim)进行仿真。此外quartus ii与matlab和dsp builder 结合,可以进行基于fpga的dsp系统开发。使用quartus ii内嵌的sopc builder,配合nios ii ide集成开发环境,可以开发nios ii嵌入式软核处理器。4.1.3 modelsim 仿真软件综述modelsim由mentor graphics 公司的子公司model tech公司开发,是业界最优秀的verilog hdl语言仿真器。它提供一个非常友好的调试环境,是唯一的单内核支持vhdl和verilog混合仿真的仿真器,是进行fpga/sopc设计的rtl级和门级电路仿真的最佳首选。modelsim最大的特点是其强大的调试功能,先进的数据流窗口,可以迅速地追踪到产生不定或者错误状态的原因;性能分析工具帮助分析性能瓶颈,加速仿真;代码覆盖率检查确保测试的完备;多种模式的波形比较功能;可以实现与matlab的simulink的联合仿真。4.2 led点阵显示模块4.2.1 led点阵显示驱动 led点阵显示模块完成对列选择和行扫描。将16位的行数据移位进入74hc164的寄存器中,将64位的列数据移位进入74hc595中。当完成一行的扫描输出一个脉冲信号isdone。由于人眼的暂留时间,只有当画面刷新频率大于50hz时才不会出现闪烁现象。更新行数据最大周期tmax=1/50/16=1.25ms。程序中利用计数器cnt作为行移位标志,当计数器计数到19999时移位一次,t=(19999+1)/20000000=1ms,(系统使用20mhz晶振),所以本设计刷新周期为1ms。以下代码为led显示驱动模块的源程序:module displ_led(input clk,input rst, input 15:0 row_data,input 31:0 data,output rclk,output sclk,output ser,output ab,output s_clk,output isdone);reg rab; reg rs_clk;reg rrclk; reg rsclk;reg rser;reg risdone;reg 14:0 cnt;reg 3:0 c;always (posedge clk or negedge rst)if(!rst)begin cnt=15d0; c=4d0; end else if(cnt=15d19_999) begin c=c+1b1;cnt=15d0; endelse cnt=cnt+1b1;reg 5:0 i;always (posedge clk or negedge rst)if(!rst) begin rab=1b1;rs_clk=1b0; rrclk=1b0;rsclk=1b0; rser=1b0;i=6d0;risdone=1b0; endelse case(i)0:begin risdone=1b0; rab=row_datac; rs_clk=1b0; rrclk=1b0; rsclk=1b0; i=i+1b1; end 1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32: if(cnt2:0=3d5)beginrsclk=1b1; i=i+1b1;endelsebegin rser=datai-1;rsclk=1b0;end33: beginrrclk=1b1;rs_clk=1b1; i=i+1b1; end34:begin if(cnt=15d0) begin risdone=1b1; i=6d0;end else begin rrclk=1b0; rsclk=1b0; rs_clk=1b0; end endendcaseassign rclk=rrclk;assign sclk=rsclk;assign ser=rser;assign ab=rab;assign s_clk=rs_clk;assign isdone=risdone;endmodule 图4.2 点阵显示驱动仿真波形 ab,s_clk用于驱动74hc164;ser,sclk,rclk用于驱动74hc595。当输入 data=32h55_55_f0_0a、row_data=16b1111_1111_1111_1110时功能仿真波形如图4.2所示,相隔8个时钟周期ser更新一次数据,并且sclk产生一脉冲将列数据移位一次。移完所有64位数据时,rclk产生一脉冲信号将列数据锁存。同时isdone产生一脉冲用于与其他模块进行交互。4.2.2 行数据控制模块行数据控制模块主要实现控制显示的上下移位功能。以下程序为此模块的源程序:module row_control(input clk,input rst,input key_up, input key_down,input key_stop,/input 15:0 rdata,output 15:0 row_data);reg up_en; reg down_en;reg 15:0 rrow; always (posedge clk or negedge rst)if(!rst)beginup_en=1b0;down_en=1b0;endelse if(key_up)beginup_en=1b1; down_en=1b0;end else if(key_down) begin down_en=1b1; up_en=1b0; endelse if(key_stop)begin up_en=1b0; down_en=1b0;endreg 23:0 cnt0;reg 3:0 k;always (posedge clk or negedge rst)if(!rst) begin cnt0=24d0;k=4d0;rrow=16b1111_1111_1111_1110; endelse if(down_en) begin if(cnt0=24d9_999_999)begin k=k+1b1; cnt0=24d0; end else begin cnt0=cnt0+1b1;case(k) 0:rrow=16b1111_1111_1111_1110; 1: rrow=16b1111_1111_1111_1101; 2:rrow=16b1111_1111_1111_1011;3: rrow=16b1111_1111_1111_0111;4: rrow=16b1111_1111_1110_1111;5:rrow=16b1111_1111_1101_1111;6:rrow=16b1111_1111_1011_1111;7:rrow=16b1111_1111_0111_1111;8:rrow=16b1111_1110_1111_1111;9:rrow=16b1111_1101_1111_1111;10:rrow=16b1111_1011_1111_1111;11:rrow=16b1111_0111_1111_1111;12:rrow=16b1110_1111_1111_1111;13:rrow=16b1101_1111_1111_1111;14:rrow=16b1011_1111_1111_1111;15:rrow=16b0111_1111_1111_1111; endcase end endelse if(up_en) begin if(cnt0=24d9_999_999) begin k=k+1b1; cnt0=24d0; end else begincnt0=cnt0+1b1;case(k)0:rrow=16b1111_1111_1111_1110;1:rrow=16b0111_1111_1111_1111; 2:rrow=16b1011_1111_1111_1111;3:rrow=16b1101_1111_1111_1111;4:rrow=16b1110_1111_1111_1111;5:rrow=16b1111_0111_1111_1111;6:rrow=16b1111_1011_1111_1111;7:rrow=16b1111_1101_1111_1111;8:rrow=16b1111_1110_1111_1111;9:rrow=16b1111_1111_0111_1111;10:rrow=16b1111_1111_1011_1111;11:rrow=16b1111_1111_1101_1111;12:rrow=16b1111_1111_1110_1111;13:rrow=16b1111_1111_1111_0111;14:rrow=16b1111_1111_1111_1011;15:rrow=16b1111_1111_1111_1101;endcaseend endelse rrow=16b1111_1111_1111_1110;assign row_data=rrow;endmodule其中,key_up表示上键标志信号,key_down表示下键标志信号,key_stop为停止移位标志信号,row_data为行数据输出。静态显示时,只要进行逐行扫描,第i位出现0时,则选通第i行。所以当按下停止键时,则直接输出16b1111_1111_1111_1110。当有其他键按下时则触发移位。移位时,首先启动计数器,当每计满9,999,999(即0.5s)时,行数据移位一次。如图4.3所示,仿真key_up键按下时,row_data每0.5s循环右移一次。图4.3 行数据控制仿真波形4.2.3 列数据控制模块 列数据控制模块主要实现合拢、上下左右移动、开帘四种显示方式的控制。以下为模块的例化程序: module column_control(input clk,input rst,input key_left,input key_right,input key_stop,input 63:0 rdata,output 63:0 data);其中,key_left表示左键标志信号,key_right表示右键标志信号,key_stop为停止移位标志信号,在没有读取到按键时显示方式为循环开帘和合拢。rdata为原列数据,data为移动后的列数据。移位速度为1/20s,如图4.4所示:图4.4列数据左移仿真波形4.3 时钟模块 时钟模块主要实现读写时钟芯片ds1302和驱动数码管显示时钟。如图4.5所示,inter_face完成对时钟初始化和读取时钟以及作为smg模块与ds1302的接口。图4.5 时钟模块rtl图4.3.1 读写时钟芯片 如图4.6所示,该模块由命令控制模块和函数模块组成。 图4.6 时钟芯片读写模块 _function模块端口实例化程序:module _function(input clk,input rstn,input 1:0 start,output done,input 7:0 addr,input 7:0 write_data,output 7:0 read_data,output rst,output sclk,inout sio); 其中,两位的start为读写选择信号,当start1为1的时候进行写操作,当start0为1的时候进行读操作;addr为操作码;write_data为待写数据;read_data保存了读取到的时钟数据;rst驱动ds1302复位引脚;sclk为ds1302的提供时钟信号;sio为输入输出口,驱动ds1302数据端口。

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论