数字逻辑实验报告二.doc_第1页
数字逻辑实验报告二.doc_第2页
数字逻辑实验报告二.doc_第3页
数字逻辑实验报告二.doc_第4页
数字逻辑实验报告二.doc_第5页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

武汉科技大学城市学院数字逻辑实验报告实验 二 实验名称:全加器及集成电路加法器的应用专业班级: 算机科学与技术一班 学 号: 201110137133_ _姓 名: _ _实验时间: 2013年 5 月 15 日 指导老师: _ 实验二 全加器及集成电路加法器应用一、实验目的1掌握半加器、全加器以及减法运算器的基本原理及其基于基本逻辑门的半加器和全加器电路以及串行(行波)进位加法器。2理解并行(先行)进位的基本原理,掌握集成电路运算器74283的用法,设计基于74283的二进制加减法运算器。3理解二进制加法与BCD加法的区别,设计基于74283的BCD加法器(关健加6修正电路)。4. 理解余3码的编码规则,设计基于74283的余3码产生电路。 (其中3、4为选做内容)二、实验要求1在Proteus ISIS环境下设计半加器电路,并仿真验证。2在Proteus ISIS环境下设计全加器电路,并仿真验证。3设计基于基于74283的8位二进制加、减法运算器,并仿真验证。4根据BCD码的加法运算修正规则,设计基于74283的一位BCD码加法器,并仿真验证,用7_SEG_BCD显示运算结果。5设计基于74283的余3码产生电路,并仿真验证,用7_SEG_BCD显示I/O结果。三实验内容、实施方案与结果分析半加器真值表absc00000110101011011半加器的设计与仿真根据半加器的真值表列出本位和S和进位C的逻辑方程比如:本位和:s=ab向高位的进位c=ab根据逻辑方程可绘制半加器电路逻辑电路如图2.1所示。图2.1 半加器逻辑电路在输入端加入逻辑输入信号LOGICSTAT,在输出端加入逻辑检测LOGICPROBE,通过仿真验证半加器的逻辑功能:实现一位二进制数加法运算,并可以产生“进位”。2全加器的设计与仿真根据全加器的真值表列出本位和S和进位C的逻辑方程。并对逻辑方程进行简化,然后根据简化后的逻辑方程绘制相应的逻辑电路。比如本位和:S=ABCin向高位的进位Cout=AB+ (AB)Cin根据逻辑方程可绘制全加器逻辑电路如图2.2所示。在输入加入逻辑输入信号LOGICSTAT,在输出端加入逻辑检测LOGICPROBE,通过仿图2.2 全加器逻辑电路之一在输入端加入逻辑输入信号LOGICSTAT,在输出端加入逻辑检测LOGICPROBE,通过仿真验证全加器的逻辑功能:对两个一位二进制数及来自低位的“进位”进行相加,产生本位“和”及向高位“进位”的逻辑电路。3基于全加器的4位串行(行波)进位加法器的设计。设A=A3A2A1A0,B=B3B2B1B0,最低位的进位输入C0,最高位的进位输出C4,以全加器为基本单元电路,设计4位二进制串行进位加法器。根据全加器的逻辑方程可得:S0=A0B0C0C1= A0B0+ (A0B0)C0=G0+ P0C0S1=A1B1C1C2= A1B1+ (A1B1)C1= =G1+ P1C1 =G1+P1 (G0+ P0C0)=G1+ P1 G0+ P1P0C0S2=A2B2C2C3= A2B2+ (A2B2)C2= =G2+ P2C2 =G2+P2(G1+ P1G0+ P1P0C0)=G2+ P2G1+ P2P1G0+ P2P1P0C0同理可求S3和C4,可见采用先行进位方式,只要知道参加运算的各位数据以及最低位的输入,即可直接计算出每一位的本位和以及向高位的进位。74283就是基于先行进位的4位二进制加法器,在9个输入端加入逻辑输入信号LOGICSTAT,在5个输出端加入逻辑检测LOGICPROBE,通过仿真验证4位二进制先行进位加法器的逻辑功能。再根据A-B=A+,然后根据x1=,设计基于74283的加、减运算器。其中加、减控制端M=0时,做加法,实现A+B功能;M=1时,做减法,实现A-B,如图2.3所示电路:图2.3 基于全加器的4位串行(行波)进位加法器电路4根据BCD码的加法运算修正规则,列出BCD码修正逻辑方程,并根据逻辑方程设计基于74283的一位BCD码加法器,并仿真验证,用7_SEG_BCD显示运算结果。如图2.4所示电路:图2.3 基于74283的一位BCD码加法器电路5设计余3码等于二制码+0011B的规则,设计基于74283的余3码产生电路,并仿真验证,用7_SEG_BCD显示I/O结果。图2.3 基于74283的余3码产生电路四实验总结刚开始做这次

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论