verilog综合心得.doc_第1页
verilog综合心得.doc_第2页
verilog综合心得.doc_第3页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

综合:不可综合的运算符:= = = ,!= =,/(除法),%(取余数)。Verilog HDL 语句及可综合性:类别语句可综合性过程语句initial否begin是块语句串行块 begin - end是并行块 fork-join否赋值语句持续赋值assign是过程赋值 =、 ?: 大部分可以综合全等运算符(= = = != =)不支持多数工具对除法(/)和求模(%)有限制如对除法操作,只有除数是常数,且是2的指数时才支持基本元件and nand nor or xor xnor buf not bufif1 bufif0notif1 notif0 pullup pulldown全部可综合:但某些工具对取值为x和z有所限制持续赋值assign是过程赋值:阻塞赋值(=)非阻塞赋值()及向左(, 3; /逻辑右移后其值为:8b00010100A3; /算术右移后其值为 8b11110100阻塞赋值(=)和非阻塞赋值(=)的应用注意事项:1、 非阻塞赋值不能用于“assign”持续赋值中,一般只出现在“initial”和“always”等过程块中,对reg型变量进行赋值。象assign out=a+b;这样的语句是错误的。2、 当用“always”块来描述组合逻辑时,既可以用阻塞赋值,也可以采用非阻塞赋值。但在同一个过程块中,最好不要同时用阻塞赋值和非阻塞赋值,虽然同时 这两种赋值方式在综合时并不一定会出错。3、 在向函数的返回值赋值时,应该使用阻塞赋值“=”。4、 不能在一个以上的“always”过程块中对同一个变量赋值,这样会引起冲突,在综合时会报错。5、 在一个模块中,严禁对同一变量既进行阻塞赋值,又进行非阻塞赋值,这样在综合时会报错。6、 对时序逻辑描述和建模,应尽量使用非阻塞赋值方式,此外,若在同一个“always”过程块中描述时序和组合逻辑混合电路时,也最好使用非阻塞赋值方式。7、 对于阻塞赋值来说,赋值语句的顺序对最后的综合结果有着直接的影响。而对于非阻塞赋值,不用考虑赋值语句的排列顺序。布局与布线(Auto Placement & Route, AP&R)布局的目的在于产生制作掩膜所需的GDSII 文件。CTS(时钟树综合

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论