




已阅读5页,还剩9页未读, 继续免费阅读
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
彩灯课程设计目录第一章 绪论11.1系统背景11.2课程设计的主要内容和任务以及要达到的目标2第二章 系统电路设计22.1 系统总体设计框架结构22.1 系统硬件3第三章 系统软件设计43.1 方案原理43.2 模块设计43.3 总体模块设计6第四章 实验结果和分析74.1 实验仿真结果7结束语8附录10第一章 绪论1.1系统背景20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。 EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。 现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。1.2课程设计的主要内容和任务以及要达到的目标(1)主要内容和任务 完成彩灯控制系统的设计与制作,在计算机上用MAX+plus 仿真后要能在实验箱上实现,熟悉可编程逻辑器件的使用,学会自己烧程序并应用于实践。(2)目标设计一个彩灯控制器,具有3种花样的变化,最后一次是将前三种的循环。并且具有四种频率的变化。整个系统有三个输入信号,分别为音频输入脉冲信号clk2,复位清零信号CLR,彩灯输入控制脉冲clk1。最后按照FPGA的开发流程和VHDL语言建模、仿真、综合、下载、适配,用EDA6000实验箱上的FPGA系统实现了相应的功能。 第二章 系统电路设计2.1 系统总体设计框架结构分频器四选一48进制计数器显示模块 图2-1 系统框图2.1 系统硬件 该系统使用的是ACEX1K-EP1K30TC144-3芯片。其具体的电路如下: 图2-2 系统的硬件图 第三章 系统软件设计3.1 方案原理彩灯设计总体采用分模块的方法来完成,包括分频器、4选1选择器、48进制计数器、彩灯控制器四大部分。其中彩灯控制器是用来控制16个LED,使其呈现出不同的花型,而彩灯控制器的输出则是由48进制计数器来控制。通过一个集成分频器来将输入的频率分成几种不同的频率,然后通过一个四选一选择器择性不同频率,从而实现彩灯不同的速率。通过一个显示模块来显示不同的花样,该模块的使能端实现四种状态的切换显示。3.2 模块设计(1)集成分频器模块 设计分频器来用不同的频率控制不同的彩灯速率输出。 模块说明:通过分频器可以实现不同频率的转换,clk为输入信号,clk_out_1hz,clk_out_2hz,clk_out_4hz为输出信号,还有一个信号为系统时钟(2)4选1选择器模块通过一个使能端来控制不同的状态,状态用 A,B,C,D表示。(3)48进制计数器模块48进制模块用来控制彩灯输出模块来控制彩灯的显示模块,即当计数到49时自动转到到第一种状态,实现循环控制,不然到49将停止。RST:系统清零信号,低电平有效Clk:输入信号 用来给模块提供工作频率CQ【5.0】:48进制计数器的输出(4)彩灯显示模块灯控制模块用来直接控制彩灯的输出,使彩灯表现出不同的花样。通过使能端来实现不同状态的转换。X5.0:不同的输入使彩灯控制模块有不同的输出即彩灯显示出不同的花样。K1.0: 即使能端,来控制不同状态的切换。Y15.0:输出信号 直接与彩灯相连来控制彩灯。3.3 总体模块设计整个系统就是各个分模块组成来实现最后的彩灯控制功能,用一个时钟为分频器的输入来进行分频处理,通过四选一来切换不同频率,再通过显示模块显示第四章 实验结果和分析4.1 实验仿真结果(1)分频说明:该程序是将时钟进行二分频,将分频的信号再分频,就变成四分频了,将四分频的信号再二分频就可以变八分频了(2)四选一(3)48进制计数器(4) 显示模块说明:使能端控制的是四种状态的转换,第四种状态是前三种状态的循环。结束语彩灯控制器EDA设计实验刚开始,拿着选定的题目不知如何入手。不过通过指导老师冯杰老师的说明与提示,心中才有了谱。将整个系统根据不同的功能化分成模块,再分别进行设计,逐个攻破,最后再将其整合即可。通过这次课程设计,使我受益颇多。既巩固了课堂上学到的理论知识,又掌握了常用集成电路芯片的使用。在此基础上学习了数字系统设计的基本思想和方法,学会了科学地分析实际问题,通过查资料、分析资料及请教老师和同学等多种途径,独立解决问题。同时,也培养了我认真严谨的工作作风。只有这样才能有实质的进步,还有要和同学共同讨论,解决各种困难,在困难中你能了解更多的非课本的知识,还能再找错误的同时锻炼你的观察力,所以我知道了很多器件的作用,并了解到什么样的现象是哪块的电路出现了错误,小小的成功给了我很大的动力,也感受到探索的乐趣。 附录(1)分频程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY fenping IS PORT( clk: INSTD_LOGIC; clk_out_1hz,clk_out_2hz,clk_out_4hz: OUT STD_LOGIC );END fenping; ARCHITECTURE a OF fenping IS signal full1,full2,full3:STD_LOGIC; begin p_a:process(clk) begin if clkevent and clk=1 then full3=not full3; end if; clk_out_4hz=full3; end process; p_b:process(full3) begin if full3event and full3=1 then full2=not full2; end if; clk_out_2hz=full2; end process; p_c:process(full2) begin if full2event and full2=1 then full1=not full1; end if; clk_out_1hz=full1; end process;end a;(2) 四选一library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity mux41 is port( a,b,c,d :in std_logic; s :in std_logic_vector(1 downto 0); y:out std_logic);end mux41;architecture behav of mux41 is begin process(a,b,c,d,s) begin if s=00 then y=a; elsif s=01 then y=b; elsif s=10 then y=c; else y=d; end if; end process; end behav;(3)48进制计数器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY cnt48 IS PORT (CLK,RST : IN STD_LOGIC; CQ : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); COUT : OUT STD_LOGIC ); END cnt48;ARCHITECTURE behav OF cnt48 IS signal DQ : STD_LOGIC_VECTOR(5 DOWNTO 0);BEGIN PROCESS(CLK, RST) BEGIN IF RST = 1 THEN DQ=000000; ELSIF CLKEVENT AND CLK=1 THEN IF DQ=110000 THEN DQ=000000;COUT=1; ELSE DQ=DQ+1;COUT=0; END IF; END IF;END PROCESS; CQ y y y y y y y y y y y y y y y y y y y y y y y y y y y y y y y y
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 八中自主招生考试题及答案
- 解析卷公务员考试《常识》同步练习试题(含答案及解析)
- 护理查对制度试题(含答案)
- 贵州企业招聘:2025贵州黔晨综合发展有限公司招聘15人考前自测高频考点模拟试题及完整答案详解1套
- 2025年在线职业技能认证平台投资风险评估分析报告
- 2025年文化娱乐产业产业链重构与协同发展报告
- 2025年婴幼儿配方食品营养配方研究进展与挑战分析报告
- 2025年城市慢行系统建设与城市交通拥堵治理创新策略可行性研究报告
- 2025年教育行业质量评估与认证体系在学校特色教育中的应用报告
- 2025年海洋生态修复政策与海洋生物保护研究报告
- 2025国投生物制造创新研究院有限公司招聘(31人)考试备考试题及答案解析
- 新学期,新征程+课件-2025-2026学年高二上学期开学第一课主题班会
- 2025新版企业员工劳动合同范本
- 医院信息化建设中长期规划(十五五规划2025年)
- 国家中医药管理局《中医药事业发展“十五五”规划》全文
- 碳九加氢标准工艺标准流程
- 阿尔茨海默病及其他类型痴呆临床路径表单
- 公开课第一课素描基础入门课件
- 数据结构ppt课件完整版
- GB∕T 36527-2018 洁净室及相关受控环境 节能指南
- 应用语言学(全套课件197P)
评论
0/150
提交评论