




已阅读5页,还剩7页未读, 继续免费阅读
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
多功能数字钟设计一、设计原理通过对任务书的要求的分析,结合以前学的课程,改程序设计可设计出层次不同的各个模块,可分为计数模块、分频模块、消抖模块、数据选择模块、报时闹钟模块和定时模块。各模块的简介如下:分频模块可产生1HZ、4HZ、64HZ、512HZ共4个脉冲信号,其中1HZ脉冲信号用来提供秒60进制计数器的时钟信号,4HZ脉冲信号用来提供计时和校时的时钟信号,64HZ脉冲信号用来提供消抖电路的时钟信号,512HZ脉冲信号用来提供闹钟和整点报时的声音频率信号;其中计数模块包括秒计数模块、分计数模块、时计数模块,秒、分、时之间通过进位连接,秒每计数到59产生一次进位至分,分每计数到59次产生一次进位至时;通过消抖模块可以消除各按键的抖动;通过数据选择模块可以选择是计时还是校时;通过报时闹钟模块和定时模块可以对时钟进行定时,并能进行整点报时。二、各模块的设计:(1)、分频模块可产生1HZ、4HZ、64HZ、512HZ共4个脉冲信号,其中1HZ脉冲信号用来提供秒60进制计数器的时钟信号,4HZ脉冲信号用来提供计时和校时的时钟信号,64HZ脉冲信号用来提供消抖电路的时钟信号,512HZ脉冲信号用来提供闹钟和整点报时的声音频率信号;将实验箱的1024HZ信号分出1HZ、4HZ、64HZ、512HZ共4个脉冲信号,其中1HZ脉冲信号用来提供秒60进制计数器的时钟信号,4HZ脉冲信号用来提供计时和校时的时钟信号,64HZ脉冲信号用来提供消抖电路的时钟信号,512HZ脉冲信号用来提供闹钟和整点报时的声音频率信号。其VHDL程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;architecture one of fenpin issignal q512,a,b,c:std_logic;signal c1,c4,c64:integer range 512 downto 0;beginprocess(in_clk)begin if in_clkevent and in_clk=1 then -得到64HZ时钟信号 q512=7 then c64=0;c=not c;else c64=127 then c4=0;b=not b;else c4=511 then c1=0;a=not a;else c10);cge:=(others=0); -clr为1时清零 elsif alarm=0 and (clkevent and clk=1) then -clr为0时计数 if cge9 then cge:=cge +1;co=0; elsif cshi0); elsif cshi=5 and cge=9 -计数到59时清零并产生进位输出then co0); cge:=(others=0); else co=0; end if; end if; shi=cshi; ge0);cge:=(others=0); -clr为1时清零 elsif alarm=0 and (clkevent and clk=1) then -clr为0时计数 if cshi2 then -正常计时过程 if cge0); end if; elsif cshi=2 then if cge0);cge:=(others=0); end if; end if; end if; shi=cshi;ge=cge;end process;仿真波形如下:波形2 24进制时计数器仿真波形此图给出的是24进制的时计数器的仿真波形,当计数到23的时候,下一次下降沿触发后,从0从新开始计数,这正是24个小时的时循环,说明仿真是正确的。创建的元件符号如下:图形2 24进制秒计数器元件符号、(3)、通过消抖模块可以消除各按键的抖动;通常的按键所用开关为机械弹性开关,当机械触点断开、闭合时,电压信号并不稳定,由于机械触点的弹性作用,一个按键开关在闭合时不会马上稳定地接通,在断开时也不会一下子断开。因而在闭合及断开的瞬间均有一连串的抖动,抖动时间的长短由按键的机械特性决定。本设计中消抖模块的VHDL程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;architecture one of xiaodou isbeginprocess(clk_64)variable sa_n,sa_p,sb_n,sd_n,sb_p,sc_n,sc_p,sd_p:std_logic;begin if clk_64event and clk_64=1 thensa_p:=sa_n;sa_n:=sa;sb_p:=sb_n;sb_n:=sb;sc_p:=sc_n;sc_n:=sc;sd_p:=sd_n;sd_n:=sd;if sa_p= sa_n then shij=sa;end if;if sb_p= sb_n then fenj=sb;end if;if sc_p= sc_n then miaoclr=sc;end if;if sd_p= sd_n then sdo=sd;end if;end if;end process;仿真波形如下:波形4 消抖模块仿真波形创建的元件符号如下:图形4 消抖模块元件符号(4)、通过数据选择模块可以选择是计时还是校时;通过数据选择模块可以选择是计时还是校时。其VHDL程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;architecture one of choice21 isbeginprocess(c,a,b) beginif alarm=0 then y=a;else y=b; -选择模式判断end if;end process;end one;仿真波形如下:波形5 数据选择模块仿真波形创建的元件符号如下:图形5 数据选择模块元件符号(5)、通过报时闹钟模块和定时模块可以对时钟进行定时,并能进行整点报时。1、报时模块 通过报时闹钟模块可以使时钟在到达最后一分钟时开始报时,并能进行整点报时。在达到所定时间时,闹钟响起。library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;architecture one of voice isbeginprocess(fen1,fen0,miao1,miao0)beginif fen1=0101 and fen0=1001 and miao1=0101 then -最后一分钟报时 if miao0=0001 or miao0=0011 or miao0=0101 or miao0=0111 then q=in_500; elsif miao1=0101 and miao0=1001 then q=in_1000; -整点报时 else q=0; end if; else q=0; end if;if fen1=fh and fen0=fl and shi1=sh and shi0=sl then q=in_1000;-到达定时声音输出end if;end process;end one;仿真波形如下:波形6 报时、闹时模块仿真波形创建的元件符号如下:图形6 报时、闹时模块元件符号2、定时模块定时模块可以对时钟进行定时。其VHDL程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;architecture one of df4 is beginprocess (sd,hourh,hourl,minh,minl) beginif sd=1 then -对时钟进行定时hourh_o=hourh;hourl_o=hourl;minh_o=minh;minl_o=minl;end if;end process;end one;仿真波形如下:波形7 定时模块仿真波形创建的元件符号如下:图形7 定时模块元件符号三、数字钟整体结构图四、仿真和下载应用QuartusII对各部分进行逐一仿真后,生成各个模块,然后进行连接,连接后调试正确后,再可以进行管脚锁定,下载到硬件进行验证。通过管脚锁定我们设定了调节数字钟的按键,通过对按键的调动,我们可以实现设计要求的各项功能,包括时.分.秒的设定、整点报时、闹钟设定,经过下载后的结果验证,实验设计是符合设计要求的。五、心得体会:这次课程设计我选择了做多功能数字钟,在设计和制作数字钟的过程中,让我更加明白了如何运用VHDL语言设计一个具有一定逻辑功能的模块。学会了如何在写程序前进行全面的分析,起初不知道具体做那个模块以及各个模块的功能怎么实现,经过查资料和同学的探讨,最后找出整个程序的设计思路,进行一个模块一个模块的设计,并进行一个模块一个模块的仿真,发现错误就认真修改,直到都是正确的,然后再进行下一个模块的设计与仿真。最后将仿真过的模块连线,再次进行仿真和下载,经过逐次修改直到结果正确为止。通过本次实验,让我对QuartusII的应用更加熟练,也对这一类的程序设计有了一定清晰地认识和了解,也能更快的理清设计的大致环节,更好的将理论知
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 年产10亿只芯片产品项目实施方案(模板)
- 2023年校长元旦致辞范文(13篇)
- 西安海棠职业学院《计算机辅助平面设计》2023-2024学年第二学期期末试卷
- 护理专业体温测量技术规范与操作流程
- 荆楚理工学院《国家预算》2023-2024学年第二学期期末试卷
- 江西工业工程职业技术学院《中药分析》2023-2024学年第二学期期末试卷
- 贵州航空职业技术学院《护理学研究》2023-2024学年第二学期期末试卷
- 天津职业技术师范大学《图形与视频处理》2023-2024学年第二学期期末试卷
- 石家庄职业技术学院《飞机故障诊断技术》2023-2024学年第二学期期末试卷
- 安徽财经大学《建筑师业务基础》2023-2024学年第二学期期末试卷
- 第18课《井冈翠竹》课件-2024-2025学年统编版语文七年级下册
- 【MOOC】《思想道德与法治》(东南大学)章节中国大学慕课答案
- 卜算子-送鲍浩然之浙东课件
- MOOC 中医与辨证-暨南大学 中国大学慕课答案
- 国家开放大学《高等数学基础》形考任务1-4参考答案
- 脑血管意外的急救课件
- 利浦仓施工方案
- 三调土地利用现状分类和三大地类对应甄选
- 消防工程施工进度计划横道图+进度网络图【建筑施工资料】
- 民族教育发展调研报告3篇
- 金属屋面钢结构工程专项施工方案(51页)
评论
0/150
提交评论