



全文预览已结束
下载本文档
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
实验一 Quartus II软件的基本操作一、实验内容1熟悉Quartus II软件的基本操作,了解各种设计方法(原理图设计、文本设计、波形设计)2用逻辑图和VHDL语言设计一个异或门。3用逻辑图和VHDL语言设计三态门,三态门的使能端对低电平有效。二、实验要求1进实验室前,请写一份预习报告;进实验室时经指导老师检查后,才可上机操作。2预习报告内容有: 异或门和三态门的逻辑图; 用VHDL语言编写异或门和三态门;3在图形编辑区通过逻辑图设计逻辑电路,再利用波形编辑区进行逻辑功能仿真,以此验证电路的逻辑功能是否正确,最后在实验箱上进行下载验证。4在文本编辑区使用VHDL硬件描述语言设计逻辑电路,再利用波形编辑区进行逻辑功能仿真,以此验证电路的逻辑功能是否正确,最后在实验箱上进行下载验证。5实验结束前,由指导老师检查了仿真波形和实验箱上的实验结果后方可离开。实验报告一、异或门1.VHDL实现LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY xor2 ISPORT(a,b: IN STD_LOGIC;c : OUT STD_LOGIC);END ENTITY xor2;ARCHITECTURE bhv OF xor2 ISBEGINc=a XOR b;END ARCHITECTURE bhv;2.逻辑图:3.真值表ABOUT0000111011104. 用途:异或门是一种用途广泛的门电路。典型应用是作为加法器的单元电路。5.波形图:6.异或门的逻辑表达式为:c=ab=ab+ab7.功能描述:若两输入为相同逻辑值,则输出为0,若不同,则输出为1.二、三态缓冲器1.VHDL实现:library ieee;use ieee.std_logic_1164.all;entity btri isport(x:in std_logic; en: in std_logic; y: out std_logic);end btri;architecture a of btri isbeginprocess(x,en)begin if en=1 then y=x; else y=Z; end if;end process;end;2.逻辑
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 三年级数学计算题专项练习汇编及答案集锦
- 竞技舞龙运动的实践特征及发展路径研究
- 集成式电机驱动系统设计与控制方法研究
- 免疫调控与肾损伤-洞察及研究
- 2025年辅导员考试题库:班级管理策略实证研究试题集
- 2025年美容师(初级)美容护肤产品配方设计考核试卷
- 2025年初中地理信息技术应用试题汇编与答案详解试卷
- 2025年美发师创意造型考核试卷:美发师作品鉴赏与评价试题
- 音乐消费趋势-洞察及研究
- 2025年网络直播规范化发展策略与商业模式创新研究报告
- 抖音直播带货合作协议书范本
- GB 44246-2024家用和类似用途电器、体育用品的电气部分及电玩具安全技术规范
- 起重吊车吊装施工方案
- 教育咨询员合同范本样本
- DL∕T 1474-2021 交、直流系统用高压聚合物绝缘子憎水性测量及评估方法
- 劳动合同中止执行协议
- 2024年四川省乐山市中考地理试卷(含答案)
- 货运车辆驾驶员安全教育培训(3篇模板)
- 基于排队网络理论的集装箱码头设备配置优化研究
- 2024CSCO结直肠癌诊疗指南解读
- 食品购销合同模板
评论
0/150
提交评论