《数字电子技术实验》课程实验报告—可编程彩灯控制电路的设计_第1页
《数字电子技术实验》课程实验报告—可编程彩灯控制电路的设计_第2页
《数字电子技术实验》课程实验报告—可编程彩灯控制电路的设计_第3页
《数字电子技术实验》课程实验报告—可编程彩灯控制电路的设计_第4页
《数字电子技术实验》课程实验报告—可编程彩灯控制电路的设计_第5页
已阅读5页,还剩8页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、数字电子技术实验课程实验报告可编程彩灯控制电路的设计可编程彩灯控制电路的设计一、 实验目的1. 掌握计数器、移位寄存器电路的原理及应用。2. 掌握比较器或译码电路的应用方法。3. 掌握555电路的应用方法。二、 设计任务与要求1. 分析下图所示电路功能。2. 完成振荡电路及分频电路的设计。3. 连接整体电路,测试分析实验结果。要求:1. 彩灯电路循环速度肉眼可辨。2. 可2灯循环,3灯循环,8灯循环。最少6灯,可扩展成可逆循环。3. 要求有功能扩展。三、 实验原理及设计思想可编程彩灯电路实验将传统的四个时序电路:移位寄存器、计数器、555定时器和组合实验综合为一个完整的设计型时序、组合电路综合

2、实验。如已知电路图所示,10线4线优先编码器74ls147和3线8线译码器74ls138实现对控制信号的编码、译码功能,两片单向移位寄存器74195级联实现6位信号的逻辑右移,集成定时器7555用来产生定时脉冲,通过调节电阻和电容值可改变脉冲频率,分频器部分主要功能是改变输入移位寄存器的信号的频率,实现彩灯亮灭频率的改变。基本的设计原理和思想如上所述,但我们小组在分频器部分进行了创新设计,在老师已给的电路基础上,又加了6个芯片(三片74ls161、两片74ls151、一片74ls00),以实现对频率选择的功能。而且设计了7个逻辑电平开关和一个复位开关,以实现自动变频和手动变频的切换。四、 整体

3、电路图整体电路共分为六大模块:555振荡电路模块、编码译码模块(74ls147、74ls138)、移位寄存器模块(两片74ls195)、显示模块(25盏led灯)、计数器模块(74ls161)、分频器模块(两片74ls161)和数据选择器模块(两片74ls151)。五、 单元电路分析1. 振荡电路模块设计(1) 电路图(2)555工作原理及功能表555定时器是一种数字、模拟混合型的中规模集成电路,由于内部电压基准使用了3个5k电阻,故名555电路。3个5k的电阻组成分压器,其内部的两个电压比较器构成一个电平触发器,上触发电平23ucc,下触发电平为13ucc,在5角控制断外接一个参考电压uc,

4、可改变上下触发电平值,两比较器的输出端分别接rs触发器,由于与两个或非门组成的rs触发器必须用负性信号才能触发,因此只有同相端的电位高于反相端时,rs触发器才能翻转。外接控制电压时,555的逻辑功能表:输入比较器输出输出uthutrrdr(c1)r(c2)out放电三极管tdd0dd0导通ur1ur21101截止ur1ur2111不变不变ur1ur21010导通不外接控制电压时,555逻辑功能表:输入输出uthutrrdout放电三极管dd00导通23ucc13ucc11截止23ucc13ucc1不变不变23ucc13ucc00导通当接通电源时,由于电容c2两端的电压不能突变,定时器的低触发端

5、2端为低电平,输出端3端为高电平(内部结构决定)。电源经过r1、r2给电容充电,当电容电压充到电源电压的2/3时,555内部的cmos管导通,输出为低电平。电容通过r2和cmos管放电,当电容两端的电压下降到低于1/3电源电压时,cmos管截止,电容放电停止,电源通过r1、r2再次向电容充电。如此反复,形成振荡。忽略cmos管导通电阻可得:振荡周期为:t=0.7(r2+2r1)*c1充电时间为:tw1=0.7(r2+r1)*c1放电时间为:tw2=0.7r1*c1根据实验所需,我们设计的元件参数如下:r1=5.1k,r2=1k,c1=1uf,c2=10nf计算得:t=7.8410-3s f=1

6、27.55hz128hz2. 分频器及数据选择器模块设计(1) 电路图(2) 两片74ls161构成的分频器74ls161的管脚图及功能表如下:输入输出cprldctpcttd3d2d1d0q3q2q1q000 0 0 010d3d2d1d0d3d2d1d0110保持110保持1111计数由于555产生的脉冲信号的频率为128hz,接到u11的时钟输入端,由qa口输出的为二分频64hz,qb口输出的为四分频32hz,再将32hz接到u2的时钟输入端,由qa口输出二分频16hz,qb口输出四分频8hz,qc口输出八分频4hz,qd口输出十六分频2hz。(3) 两片74ls151构成的16选1数据

7、选择器74ls151的功能表如下:sa2a1a0ff1010000d0d00001d1d10010d2d20011d3d30100d4d40101d5d50110d6d60111d7d716选1数据选择器有16个数据通道,要用a3a0四位地址码才能形成16个地址,以选择16个对应的通道。将低位片u4的使能端s经过一个与非门反相后与高位片u12的使能端s相连,作为最高位的地址选择信号a3。如果a3=0,则u4片工作,根据a2a0,从d7d0中选择一路输出;如果a3=1,则u12片工作,根据a2a0,从d15d8中选择一路输出。这里u4片的输入信号为: d0=2hz , d1=d2=4hz ,d3

8、=d4=8hz ,d5=d6=16hz d7=32hz ;u12片的输入信号为:d0=32hz ,d1=d2=64hz ,d3=d4=d5=d6=d7=128hz 。这里七个开关负责控制每一路不同频率的信号,space复位开关负责控制自动变频与手动变频的切换。3. 计数器模块设计(1) 电路图(2) 功能:移位寄存器模块每右移一个周期则反馈到该计数器时钟端clk一次,qa、qb、qc分别接到两片74ls151的地址输入端,用以改变所选择输出的频率。4. 主体电路(编码译码模块、移位寄存器模块、)设计(1) 电路图(2)10线4线优先编码器74ls147功能表如下:i9i8i7i6i5i4i3i

9、2i1f3f2f1f00011010011111010001110100111110101011111010111111110110011111110110111111111011101111111111111本实验中,输入1、2接低电平,其余接高电平,则a、b、c对应输出端输出为1、0、1。(3)3线8线译码器74ls138将三位二进制代码译为07这8个数。输入输出均为低电平有效。其功能表如下:输入输出g1g2ag2ba2a1a0f0f1f2f3f4f5f6f7111111111111111111011111111100000011111111000011011111110001011011

10、1111000111110111110010011110111100101111110111001101111110110011111111110按照本实验中的接法,原始状态时输出端y5输出为低电平,其余为高电平。(3) 移位寄存器74ls195移位寄存器74ls195的复位端的信号r为低电平时,立即将四个触发器清零。load为低电平时,在cp正沿脉冲的作用下,执行并行送数功能。load为高电平时,并行数据被禁止送入,第一级j、k输入数据有效,执行j、k功能。在cp脉冲的正边沿作用下,执行右移功能。其功能表如下:输入输出rcploadd0d3jkq0n+1q1n+1q2n+1q3n+1q3n+

11、100000110d0d3d0d1d2d3d3101q0nq1nq2nq3nq3n1101q0nq0nq1nq2nq2n11000q0nq1nq2nq2n11111q0nq1nq2nq2n1110q0nq0nq1nq2nq2n由电路图可以看到,从74ls138输出的八路数据中,f0f3接到u9片的输入端,f4f7接到u8片的输入端,u8片的j、k接电压源,输出qd接u9的j、k端,u9的qd端接最后一个led灯,同时也要反馈回u8、u9的load端,当最后一个灯灭时,load都处于高电平,并行数据被禁止送入,当最后一个灯亮时load都处于低电平,在cp正沿脉冲的作用下,执行并行送数功能,从而使

12、小灯实现循环亮灭。由于我们设计的图案是五角星,每一边只用五个led灯,所以加了两个与非门。五个保护电阻设计值为1k。六、 测试结果及分析由于我们焊接的时候都很仔细,所以测试的时候几乎是一次成功,但是还有不完美之处。一开始,我们的设计的电阻值是r1=r2=10k,电容值是c1=100nf,理论上的振荡周期t=0.7(r1+r2)c1=0.7(10+10)10010-6=0.0014s ,理论上的振荡频率f=1t=714.28hz ,可以说频率是比较高的,测试时这个问题表现得很明显。当小灯循环闪烁到后面时,肉眼很难分辨出灯的亮灭,所以后来我们经过计算,重新设置元件参数值为r1=5.1k,r2=1k,c1=1uf,解决了上一问题。七、 仿真报告a路接第一个开关,b路接第三个开关(第二个开关空置),由图像显示:ta=2tb=30.979ms fb=2fa=64.56hza路接第四个开关,b路接第五个开关,由图像显示:ta=2tb=498.861msfb=2fa=4.00hza路接第

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论