




版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 1 第第10 10章章 edaeda技术在技术在全国大学生电子设计竞赛中的应用全国大学生电子设计竞赛中的应用 10.1 等精度频率计设计 10.2 测相仪设计 10.3 基于dds的数字移相正弦信号发生器设计 10.4 逻辑分析仪设计 大结局 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 2 10.1 10.1 等精度频率计设计等精度频率计设计 本系统设计的基本指标为:本系统设计的基本指标为: (1)频率测试功能:测频范围)频率测试功能:测频范围0.1hz100mhz。测频
2、精度:测。测频精度:测 频全域相对误差恒为百万分之一。频全域相对误差恒为百万分之一。 (3)周期测试功能:)周期测试功能:信号测试范围与精度要求与测频功能相同。信号测试范围与精度要求与测频功能相同。 (4)占空比测试功能:测试精度)占空比测试功能:测试精度199。 10.1.1 系统设计要求系统设计要求 简易数字频率计的设计是1997年全国大学生电子设计竞赛赛题之一。 基于传统测频原理的频率计的测量精度将随被测信号频率的下降而降低, 在实用中有较大的局限性,而等精度频率计不但具有较高的测量精度,而且 在整个测频区域内保持恒定的测试精度。 (2)脉宽测试功能:测试范围)脉宽测试功能:测试范围0.
3、1s1s,测试精度,测试精度0.01s 。 (5)相位测试功能:)相位测试功能:附加功能附加功能( (见见10.2节节) 。 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 3 10.1.2 主系统组成主系统组成 等精度数字频率计涉及到的计算包括加、减、乘、除,耗 用的资源比较大,用一般中小规模cpld/fpga芯片难以实现。 因此,我们选择单片机和cpld/fpga的结合来实现。电路系统 原理框图如图10.1所示,其中单片机完成整个测量电路的测试 控制、数据处理和显示输出;cpld/fpga完成各种测试功能; 键盘信号由89c51单片机进行处理,它从cpl
4、d/fpga读回计数 数据并进行运算,向显示电路输出测量结果;显示器电路采用 七段led动态显示,由8个芯片74ls164分别驱动数码管。 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 4 图图10.1 频率计主系统电路组成频率计主系统电路组成 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 5 等精度频率计主要由以下几个部分构成: (1) 信号整形电路。用于对待测信号进行放大和整形,以便 作为pld器件的输入信号。 (2) 测频电路。是测频的核心电路模块,可以由fpga等pld 器件担任。 (3) 单片机电路模块。用于
5、控制fpga的测频操作和读取测 频数据,并作出相应数据处理。 (4) 100mhz的标准频率信号源。本模块采用高频率稳定度 和高精度的晶振作为标准频率发生器,产生100mhz的标准频率 信号直接进入fpga。如果由于优化问题,可接50mhz或更低频 率的晶振。 (5) 键盘模块。可以用5个键执行测试控制,一个是复位键, 其余是命令键。 (6)数码显示模块。可以用7个数码管显示测试结果,最高可 表示百万分之一的精度。 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 6 10.1.3 工作原理工作原理 1. 频率测量方法及原理频率测量方法及原理 (1) 直接测频
6、法:把被测频率信号经脉冲整形电路处理后加到 闸门的一个输入端,只有在闸门开通时间t(以秒计)内,被计数 的脉冲送到十进制计数器进行计数。 (2) 组合测频法:是指在高频时采用直接测频法,低频时采用 直接测量周期法测信号的周期,然后换算成频率。 (3) 倍频法:是指把频率测量范围分成多个频段,使用倍频技 术,根据频段设置倍频系数,将经整形的低频信号进行倍频后 再进行测量,对高频段则直接进行测量。倍频法较难实现。 (4) 等精度测频法:其实现方法可用主控结构图10.2和波形 图10.3来说明。 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 7 图图10.2 等
7、精度频率计主控结构等精度频率计主控结构 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 8 图10.2中“预置门控信号”cl可由单片机发出,设cl的时间 宽度其宽度为tpr。bzh和tf模块是两个可控的32位高速计数器, bena和ena分别是它们的计数允许信号端,高电平有效。 标准频率信号从bzh的时钟输入端bclk输入,设其频率为fs; 经整形后的被测信号从与bzh相似的32位计数器tf的时钟输入 端tclk输入,设其真实频率值为fxe,被测频率为fx。 测频原理说明如下:测频开始前,首先发出一个清零信号clr, 使两个计数器和d触发器置0,同时通过信号
8、ena,禁止两个计 数器计数。这是一个初始化操作。 然后由单片机发出允许测频命令,即令预置门控信号cl为高 电平,这时d触发器要一直等到被测信号的上升沿通过时q端才 被置1,与此同时,将同时启动计数器bhz和tf,进入图10.3所 示的“计数允许周期”。在此期间,bht和tf分别对被测信号 (频率为fx)和标准频率信号(频率为fs)同时计数。当tpr秒后,预 置门信号被单片机置为低电平,但此时两个计数器仍没有停止 计数,一直等到随后而至的被测信号的上升沿到来时,才通过d 触发器将这两个计数器同时关闭。 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 9 被测
9、频率值为被测频率值为fx,标准频率为,标准频率为fs,设在一次预置门时间,设在一次预置门时间tpr 中对被测信号计数值为中对被测信号计数值为nx,对标准频率信号的计数值为,对标准频率信号的计数值为ns,则,则 下式成立:下式成立: nsfsnxfx/ (10.1) 得到测得的频率为:得到测得的频率为: nxnsfsfx)/( (10.2) 图图10.3 频率计测控时序频率计测控时序 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 10 2. 周期测量模块周期测量模块 (1) 直接周期测量法:用被测信号经放大整形后形成的方波 信号直接控制计数门控电路,使主门开
10、放时间等于信号周期tx, 时标为ts的脉冲在主门开放时间进入计数器。设在tx期间计数 值为n,可以根据以下公式来算得被测信号周期: tx=nts (10.3) 经误差分析,可得结论:用该测量法测量时,被测信号的频 率越高,测量误差越大。 (2) 等精度周期测量法:该方法在测量电路和测量精度上与 等精度频率测量完全相同,只是在进行计算时公式不同,用周 期1/t代换频率f即可,其计算公式为 tx= (tsns)/ nx (10.4) 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 11 3.脉宽测量模块脉宽测量模块 在进行脉冲宽度测量时,首先经信号处理电路进行处
11、理,限制 只有信号的50%幅度及其以上部分才能输入数字测量部分。脉冲边 沿被处理得非常陡峭,然后送入测量计数器进行测量。 测量电路在检测到脉冲信号的上升沿时打开计数器,在下降沿 时关闭计数器,设脉冲宽度为twx,计算公式为 twx= nx/ fs (10.5) 4.占空比测量模块占空比测量模块 对于占空比k的测量,可以通过测量正反两个脉宽的计数值来获 得。设bzh对正脉宽的计数值为n1,对负脉宽的计数值为n2,则周 期计数值为n1+n2,于是k为: k= n1/(n1+ n2)100% (10.6) 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 12 10
12、.1.4 fpga开发的开发的vhdl设计设计 【例【例10.1】 library ieee; -等精度频率计等精度频率计 use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity gwdvpb is port (bclk : in std_logic; -clock1 标准频率时钟信号标准频率时钟信号 tclk : in std_logic; - 待测频率时钟信号待测频率时钟信号 clr : in std_logic; - 清零和初始化信号清零和初始化信号 cl : in std_logic; -预置门控制预置门
13、控制 spul : in std_logic; -测频或测脉宽控制测频或测脉宽控制 start : out std_logic; eend : out std_logic; -由低电平变到高电平时指示脉宽计数结束由低电平变到高电平时指示脉宽计数结束 sel : in std_logic_vector(2 downto 0); -多路选择控制多路选择控制 data : out std_logic_vector(7 downto 0); -位数据读出位数据读出 end gwdvpb; 接下页接下页 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 13 archi
14、tecture behav of gwdvpb is signal bzq,tsq : std_logic_vector(31 downto 0);-标准计数器标准计数器/测频计数器测频计数器 signal ena,pul : std_logic; - 计数使能计数使能/脉宽计数使能脉宽计数使能 signal ma,clk1,clk2,clk3 : std_logic ; signal q1,q2,q3,bena : std_logic; signal ss : std_logic_vector(1 downto 0); begin start = ena ; data = bzq(7 dow
15、nto 0) when sel=000 else-标准频率计数低标准频率计数低8位输出位输出 bzq(15 downto 8) when sel = 001 else bzq(23 downto 16) when sel = 010 else bzq(31 downto 24) when sel = 011 else-标准频率计数最高标准频率计数最高8位输出位输出 tsq( 7 downto 0) when sel = 100 else-待测频率计数值最低待测频率计数值最低8位输出位输出 tsq(15 downto 8) when sel = 101 else tsq(23 downto 16
16、) when sel = 110 else tsq(31 downto 24) when sel = 111 else-待测频率计数值最高待测频率计数值最高8位输出位输出 tsq(31 downto 24) ; bzh : process(bclk, clr) -标准频率测试计数器,标准计数器标准频率测试计数器,标准计数器 begin if clr = 1 then bzq 0 ) ; elsif bclkevent and bclk = 1 then if bena = 1 then bzq = bzq + 1; end if; end if; end process; tf : proce
17、ss(tclk, clr, ena) -待测频率计数器,测频计数器待测频率计数器,测频计数器 接下页接下页 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 14 begin if clr = 1 then tsq 0 ); elsif tclkevent and tclk = 1 then if ena = 1 then tsq = tsq + 1; end if; end if; end process; process(tclk,clr)-计数控制使能,计数控制使能,cl为预置门控信号,同时兼作正负脉宽测试控制信号为预置门控信号,同时兼作正负脉宽测试控制
18、信号 begin if clr = 1 then ena = 0 ; elsif tclkevent and tclk = 1 then ena = cl ; end if; end process; ma = (tclk and cl) or not(tclk or cl) ; -测脉宽逻辑测脉宽逻辑 clk1 = not ma; clk2 = ma and q1; clk3 = not clk2; ss = q2 dd1: process(clk1,clr) begin if clr = 1 then q1 = 0 ; elsif clk1event and clk1 = 1 then q
19、1 = 1 ; end if; end process; dd2: process(clk2,clr) begin if clr = 1 then q2 = 0 ; elsif clk2event and clk2 = 1 then q2 = 1 ; end if; end process; 接下页接下页 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 15 dd3: process(clk3,clr) begin if clr = 1 then q3 = 0 ; elsif clk3event and clk3 = 1 then q3 = 1 ; end
20、if; end process; pul =1 when ss=10 else -当当ss=10时,时,pul高电平,允许标准计数器计数,高电平,允许标准计数器计数, 0 ; -禁止计数禁止计数 eend =1 when ss=11 else-eend为低电平时,表示正在计数,由低电平变到高电平为低电平时,表示正在计数,由低电平变到高电平 0 ; -时,表示计数结束,可以从标准计数器中读数据了时,表示计数结束,可以从标准计数器中读数据了 bena =ena when spul=1 else-标准计数器时钟使能控制信号,当标准计数器时钟使能控制信号,当spul为为1时,测频率时,测频率 pul
21、when spul = 0 else -当当spul为为0时,测脉宽和占空比时,测脉宽和占空比 pul ; end behav; 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 16 c dq c dq c dq c dq (data) (start) spul eend=0 则eend=1,否则 当q2=1,q3=1 pul=0 则pul=1,否则 当q2=1,q3=0 ss1的逻辑功能为: 2选1多路选择器 fpga 1 (spul) ena bena 0 mux21 1 (eend) pl end ss1 q3 q2 (sel) (clr) (tclk
22、) (cl) (bclk) 8 data sel 3 32 32 64-8多路选择器 tsq 32位待测频率计数器 ena tclk tf clr bena bclk bzh bzq 32位标准频率计数器 clr 图图10.4 等精度频率计等精度频率计fpga部分的部分的rtl电路图电路图 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 17 10.1.5 系统仿真系统仿真 图10.5和图10.6分别是例10.1频率测试仿真波形和脉宽测试 仿真波形。 从图10.5可以看出,spul=1时,系统进行等精度测频。 这时,clr一个正脉冲后,系统被初始化。然后cl
23、被置为高电 平,但这时两个计数器并未开始计数(start=0),直到此后被 测信号tclk出现一个上升沿,start=1时2个计数器同时启 动分别对被测信号和标准信号开始计数,其中bzq和tsq分别 为标准频率计数器和被测频率计数器的计数值。由图可见,在 cl变为低电平后,计数仍未停止,直到tclk出现一个上升沿 为止,这时start=0,可作为单片机了解计数结束的标志信 号。仿真波形中tclk和bclk的周期分别设置为10和500ns。 由图可见,计数结果是,对tclk的计数值是5,对bclk的计 数值是64(十六进制)。通过控制sel就能按照8个8位将两个计 数器中的32位数读入单片机中进
24、行计算。从图中的波形可以看 出,例10.1描述的等精度测频的功能完全正确。 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 18 图10.5 频率/周期测量仿真图 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 19 图10.6 脉宽/占空比测量仿真图 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 20 图10.6中,取spul=0时,系统被允许进行脉宽测试。为了 便于观察,图中仿真波形中的tclk和bclk的周期分别设置为75 和500ns。由例10.1和图10.4可以分析,cl和c
25、lr的功能都发生 了变化,前者为1时测信号高电平的脉宽,为0时测低电平 的脉宽;而后者clr变为1时作系统初始化,由1变为 0后启动电路系统的标准信号计数器bzq准备对标准频率进 行计数。而允许计数的条件是此后出现的第一个脉宽的宽度。 由图10.6可见,当cl=1,tclk的高电平脉冲到来时,即启 动了bzq进行计数,而在tclk的低电平到来时停止计数,状态 信号eend则由低电平变为高电平,告诉单片机计数结束。计数 值可以通过sel读出,这里是4bh。 由此不难算出,tclk的高电平脉宽应该等于4bh乘以bclk 的周期。改变cl为0,又能测出tclk的低电平脉宽,从而 可以获得tclk的周
26、期和占空比。 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 21 10.1.6 系统测试与系统测试与硬件验证硬件验证 1.fpga测频专用电路的调试测频专用电路的调试 使用quartus ,计算机,gw48 eda实验开发系统等软件 和设备,对fpga/cpld测控电路进行vhdl程序的调试,有关 仿真以及编程下载,硬件测试等。 (1)在使用单片机统调前,应该直接对下载了例10.1程序的 fpga进行测试,如果使用gw48 eda系统,建议电路图用实 验电路模式no.5。如果以上的仿真测试无误,进行引脚锁定, 以便能在gw48 eda系统上进行fpga硬件
27、功能的测试。 (2)如果能通过以上步骤,则表明专用功能的fpga已设计完 成,可根据用户板的引脚情况,重新锁定引脚,以便将fpga 插到用户板上,与板上的单片机和其他器件协调工作,完成独 立的测频系统。 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 22 2.单片机程序单片机程序调试调试 根据图10.5和图10.6各信号的时序设置方式和输出信号的含义, 设计单片机程序,其中包括单片机与fpga的数据通信程序、单 片机控制fpga进行测频和测脉宽的控制程序、数据运算程序等。 最后将设计调试好的单片机程序编译后烧录进单片机中。 3.系统的联合调试系统的联合调试
28、 在各个单元电路调试好后即可进行系统联合调试(统调)。统调 中,利用gw48系统的各种标准频率,测试用户板的功能。 4.系统的硬件验证系统的硬件验证 系统联合调试成功后,可将单片机程序通过编程器固化到单 片机中并插入eda实验开发系统中的单片机插座上,将vhdl设 计经过综合适配后的网表对cpld/fpga进行编程下载,输入相 关的信号,并进行有关性能指标的测试,直到满足系统的设计要 求为止。同时将fpga目标器件的hex文件,用编程器烧入 eprom中,完成掉电保护设计。 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 23 10.2.1 测相仪工作原理及
29、实现测相仪工作原理及实现 首先利用10.1节介绍的等精度频率计测得占空比k为: k= n1/(n1+n2)100% 其中n1是高电平脉宽时间内的计数值,n2是低电平脉宽时间 内的计数值。 由图10.4和图10.6可知,对于例10.1增加一个鉴相器逻辑模 块就能构成一个相位测试仪。图10.7是一个测相仪电路框图。 在fpga模块中除了原来的测频测脉宽功能块外,增加了一个鉴 相器,鉴相器接受来自外部的两路被整形后的信号。由鉴相器 输出的脉冲信号的占空比与这两路信号的相位差成正比, 正好等于占空比k乘以360,即: = k360=n1/(n1+n2)360 (10.7) 10.2 测相仪设计测相仪设
30、计 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 24 图图10.7 测相仪电路框图测相仪电路框图 图图10.8 测相仪电路原理图测相仪电路原理图(tpas.gdf工程工程) 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 25 图10.8中tpas.gdf工程中的模块etester的功能和结构与10.1 节的等精度频率计完全相同,只是在原来的待测频率输入端tclk 接了一个鉴相器模块epd,epd的电路结构如图10.9所示。 由如图10.10所示的鉴相器epd的仿真波形可知,2路同频率不 同相位的时钟信号pa和pb通过
31、鉴相器epd后,将输出一路具有不 同占空比的脉冲波形。其频率与输入频率相同,而占空比与pb和 pa信号上升沿的时间有关。显然epd的脉宽等于pb和pa信号上升 沿的时间差。这个时间差即为pb、pa间的相位差,它正好等于 epd的占空比k乘以360,即:= k360。 因此可以这样来修改以上的设计方案,首先设计一个如图10.9 所示的鉴相器(也可以用其他电路形式),其输出口与例10.l模块的 tclk相接,构成图10.8所示的顶层设计。然后改变单片机中的测 占空比显示程序,即将原来程序中计算后输出的占空比数据乘以 360,即完成式(10.7)的计算。而实测中只要测出信号的占空比 就能同时测出相位
32、差,其精度与占空比的精度相同。因此, tpas.gdf工程中的模块etester也可用例10.1的程序来实现。 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 26 图图10.9 鉴相器模块鉴相器模块epd原理图原理图 图图10.10 鉴相器鉴相器epd的仿真波形的仿真波形 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 27 10.2.2 系统测试系统测试 (1) 将gwak30或gwac3适配板插在gwdvpb电子设计应用 板上,对其上的掉电保护器件编程,将图10.8所示电路配置进 gwdvpb板上的fpga。 (2)
33、 连上接地线,两路被测信号进入gwdvpb板上的pio16和 pio17,按键1测频率、键2测占空比、键3测鉴相后的脉冲信号 的脉宽、键4测此两路信号的相位差。 (3) 为了得到两路移相信号,在gw48系统上插上对应的适配 板,用示波器测出两路正弦信号,使输出峰峰值不大于4v。 (4) 用两接线及一地线将由gw48主系统上的两路正弦信号 (严格情况下要求整形)接到gwdvpb板上的两个输入端口 pio16和pio17,以便测他们的频率和相位差。 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 28 10.3 基于基于dds的数字移相正弦信号发生器设计的数字移
34、相正弦信号发生器设计 基于dds的数字移相正弦信号发生器设计是2003年电子设计 竞赛赛题之一。 10.3.1 系统设计要求系统设计要求 dds技术是一种把一系列数字形式的信号通过dac转换成模 拟形式的信号合成技术,目前使用最广泛的一种dds方式是利 用高速存储器作查找表,然后通过高速dac输出已经用数字形 式存入的正弦波。 dds技术具有频率切换时间短(20 ns),频率分辨率高(0.01 hz),频率稳定度高,输出信号的频率和相位可以快速程控切换, 输出相位可连续,可编程以及灵活性大等优点,它以有别于其 他频率合成方法的优越性能和特点成为现代频率合成技术中的 姣姣者。dds广泛用于接受机
35、本振、信号发生器、仪器、通信 系统、雷达系统等,尤其适合跳频无线通信系统。 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 29 图图10.11 dds基本原理图基本原理图 累加器 相位 寄存器 加法器 正(余)弦 查找表 daclpf 相位 控制字 时钟源 m n位 fc fout 输出频率 频率 控制字 10.3.2 系统设计方案系统设计方案 1. dds的工作原理的工作原理 图10.11是dds的基本原理图,频率控制字m和相位控制字分别 控制dds输出正(余)弦波的频率和相位。dds系统的核心是相位 累加器,它由一个累加器和一个n位相位寄存器组成。每来
36、一个 时钟脉冲,相位寄存器以步长m增加。 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 30 图图10.12 dds内部组成框图内部组成框图 2.dds的的fpga实现设计实现设计 根据图10.11,并假定相位控制字为0,这时dds的核心部分相 位累加器的fpga的设计可分为如下几个模块:相位累加器sum99、 相位寄存器reg1、正弦查找表rom和输出数据寄存器reg2,其 内部组成框图如图10.12所示。图中,输入信号有时钟输入clk, 使能端en,复位端reset,频率控制字k,输出信号为q。 第10章 eda技术在全国大学生电子设计竞赛中的应用20
37、21年5月25日2时33分 31 首先利用matlab或c语言编程对正弦函数进行采样;然后对采样数据进 行二进制转换,其结果作为查找表地址的数值。 用matlab语言编写的正弦函数数据采集程序如下: clear tic; t=2*pi/1024; t=0:t:2*pi; y=255*sin(t); round(y); 用c语言编写的正弦函数数据采样程序如下: #include stdio.h #include math.h main( ) int i; float s; for ( i=0;i1024;i+) s=sin(actan(1)*8*i/1024); printf( %d,%d; n
38、, (int)(s+1)*1023/2); 两个程序运行之后所得结果是一致的。 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 32 10.3.3 dds内部主要模块的内部主要模块的vhdl程序实现程序实现 1.相位累加器相位累加器sum99的的vhdl源程序源程序 -sum910.vhd library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity sum99 is port(k: in std_logic_vector(9 downto 0); clk
39、: in std_logic; en: in std_logic; reset: in std_logic; out1: out std_logic_vector(9 downto 0); end entity sum99; 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 33 architecture art of sum99 is signal temp: std_logic_vector(9 downto 0); begin process(clk, en, reset) is begin if reset=1then temp=0000000000;
40、 else if clkevent and clk=1then if en=1 then temp=temp+k; end if; end if; end if; out1=temp; end process; end architecture art; 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 34 2. 相位寄存器相位寄存器reg1的的vhdl源程序源程序 -reg1.vhd (reg2.vhd与reg1.vhd相似) library ieee; use ieee.std_logic_1164.all; entity reg1 is port(d:
41、 in std_logic_vector(9 downto 0); clk: in std_logic; q: out std_logic_vector(9 downto 0); end entity reg1; architecture art of reg1 is begin process(clk) is begin if(clkevent and clk=1)then qoutpoutpoutpoutpoutpoutpoutpoutpoutpoutpoutpoutpoutpoutpoutpoutpoutpoutpoutpoutpoutpoutpoutpoutpoutpoutpoutpo
42、utpoutpoutpoutpoutpoutpoutpoutpk,en=en,reset=reset,clk=clk,out1=s1); u1:reg1 port map(d=s1, clk=clk, q=s2); u2:rom port map(addr=s2, clk=clk, outp=s3); u3:reg2 port map(d=s3, clk=clk, q=q); end architecture art; 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 40 10.3.4 系统仿真与硬件验证系统仿真与硬件验证 1. 系统的有关仿真系统的有关仿真
43、 系统的有关仿真如图10.13用10.15所示,请读者自己对仿真 结果进行分析。从仿真结果可以看出,对应模块的设计是正确 的。 2.系统的硬件验证系统的硬件验证 dds的输入频率控制字k有10位数据,输出数据q为9位,并 且rom需1024个存储单元,需要占用的系统比较大。但我们所 拥有的实验开发系统所配的适配板的资源可能有限,如我们在 进行该实验时所用的芯片为altera公司的ep1k30tc144芯片,这 时我们直接进行硬件验证会遇到困难。因此我们需要进行变通, 想办法进行硬件验证或部分验证。 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 41 图图1
44、0.13 相位累加器相位累加器sum99的仿真结果的仿真结果 图图10.14 正弦查找表正弦查找表rom仿真结果仿真结果 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 42 图图10.15 整个系统整个系统dds的仿真结果的仿真结果 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 43 简易逻辑分析仪设计是2003年全国大学生电子设计竞赛试 题之一。 10.4.1 设计任务设计任务 设计并制作一个8路数字信号发生器与简易逻辑分析仪, 其结构框图如图10.16所示。 10.4 逻辑分析仪设计逻辑分析仪设计 图图10.16
45、系统结构框图系统结构框图 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 44 10.4.2 设计基本要求设计基本要求 1. 制作数字信号发生器 能产生8路可预置的循环移位逻辑信号序列,输出信号为ttl电 平,序列时钟频率为100hz,并能够重复输出。逻辑信号序列示 例如图10.17所示。 2. 制作简易逻辑分析仪 (1)具有采集8路逻辑信号的功能,并可设置单级触发字。信号采 集的触发条件为各路被测信号电平与触发字所设定的逻辑状态相 同。在满足触发条件时,能对被测信号进行一次采集、存储。 (2)能利用模拟示波器清晰稳定地显示所采集到的8路信号波形, 并显示触
46、发点位置。 (3)8位输入电路的输入阻抗大于50k,其逻辑信号门限电压可在 0.254v范围内按16级变化,以适应各种输入信号的逻辑电平。 (4) 每通道的存储深度为20bit。 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 45 图图10.17 重复输出循环移位逻辑序列重复输出循环移位逻辑序列00000101 10.4.3 设计实现设计实现 图10.18是逻辑分析仪的基本原理图;图10.19是逻辑分析仪 fpga内部结构图。例10.2逻辑分析仪fpga设计部分的vhdl 完整程序示例。请读者自行分析。 第10章 eda技术在全国大学生电子设计竞赛中的应用
47、2021年5月25日2时33分 46 图图10.18 逻辑分析仪的基本原理图逻辑分析仪的基本原理图 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 47 图图10.19 逻辑分析仪逻辑分析仪fpga内部结构图内部结构图 第10章 eda技术在全国大学生电子设计竞赛中的应用2021年5月25日2时33分 48 【例10.2】逻辑分析仪fpga设计部分的vhdl完整程序示例。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity reserv is port (clk:in std_logic; -采样与扫描时钟 key1:in std_logic; -采样与显示控制 trag:out std_logic_vector(9 downto 0);-产生锯齿波消耗 datain:in std_logic_vector(9 downto 0);-8路逻辑信号输入 dout:out std_logic_vector(9 downto 0);-逻辑信号输出显示 end; architecture dacc of rese
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2025湖南永州市宁远县人民医院招聘备案制专业技术人员50人模拟试卷(含答案详解)
- 2025湖南永州市零陵区第二批公开引进急需紧缺专业人才(医疗岗9人)考前自测高频考点模拟试题及1套参考答案详解
- 2025年芜湖安徽工程大学博士专职辅导员招聘2人考前自测高频考点模拟试题附答案详解(突破训练)
- 2025年广元市贵商村镇银行科技人才招聘考前自测高频考点模拟试题及完整答案详解一套
- 2025年安徽演艺集团有限责任公司招聘20人模拟试卷及1套参考答案详解
- 2025上海电气春季校园招聘发布笔试题库历年考点版附带答案详解
- 2025物流合作伙伴协议范本
- 2025新员工合同协议书范本
- 2025洛阳市物流公司合同续签协议
- 2025年大型设备购买协议 标准版 合同模板
- 输变电工程质量通病防治手册
- 居民公约工作总结
- 骨科疾病的深度学习研究
- 绿植租摆服务投标方案(完整技术标)
- 矿山安全培训课件-地下矿山开采安全技术
- 汪小兰版有机化学答案全
- DB32∕T 3751-2020 公共建筑能源审计标准
- DB51T 2975-2022气凝胶复合保温隔热材料及系统通用技术条件
- 高中音乐《学会聆听音乐》第三课时《联想与想象》 课件
- 实验,双子叶植物根类药材的鉴定课件
- GB/T 40302-2021塑料立式软薄试样与小火焰源接触的燃烧性能测定
评论
0/150
提交评论