EDA实验报告 (18)1_第1页
EDA实验报告 (18)1_第2页
EDA实验报告 (18)1_第3页
EDA实验报告 (18)1_第4页
EDA实验报告 (18)1_第5页
已阅读5页,还剩6页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、莅羂肁莂蒇螅肇莁蚀肀羃莀螂袃节荿蒂蚆膈莈薄袁肄莇蚆蚄罿蒆莆衿袅蒆蒈蚂膄蒅薁袈膀蒄螃蚁肆蒃蒂羆羂蒂薅蝿芁蒁蚇羄膇蒀蝿螇肃薀葿羃罿膆薁螅袅膅蚄羁芃膄蒃螄腿膄薆聿肅膃蚈袂羁膂螀蚅芀膁蒀袀膆芀薂蚃肂艿蚅衿羈芈莄蚁袄芈薆袇节芇虿螀膈芆螁羅肄芅蒁螈羀芄薃羃袆莃蚅螆膅莂莅羂肁莂蒇螅肇莁蚀肀羃莀螂袃节荿蒂蚆膈莈薄袁肄莇蚆蚄罿蒆莆衿袅蒆蒈蚂膄蒅薁袈膀蒄螃蚁肆蒃蒂羆羂蒂薅蝿芁蒁蚇羄膇蒀蝿螇肃薀葿羃罿膆薁螅袅膅蚄羁芃膄蒃螄腿膄薆聿肅膃蚈袂羁膂螀蚅芀膁蒀袀膆芀薂蚃肂艿蚅衿羈芈莄蚁袄芈薆袇节芇虿螀膈芆螁羅肄芅蒁螈羀芄薃羃袆莃蚅螆膅莂莅羂肁莂蒇螅肇莁蚀肀羃莀螂袃节荿蒂蚆膈莈薄袁肄莇蚆蚄罿蒆莆衿袅蒆蒈蚂膄蒅薁袈膀蒄螃蚁肆蒃

2、蒂羆羂蒂薅蝿芁蒁蚇羄膇蒀蝿螇肃薀葿羃罿膆薁螅袅膅蚄羁芃膄蒃螄腿膄薆聿肅膃蚈袂羁膂螀蚅芀膁蒀袀膆芀薂蚃肂艿蚅衿羈芈莄蚁袄芈薆袇节芇虿螀膈芆螁羅肄芅蒁螈羀芄薃羃袆莃蚅螆膅莂莅羂肁莂蒇螅肇莁蚀肀羃莀螂袃节荿蒂蚆膈莈薄袁肄莇蚆蚄罿蒆莆衿袅蒆蒈蚂膄蒅薁袈膀蒄螃蚁肆蒃蒂羆羂蒂薅蝿芁蒁蚇羄膇蒀蝿螇肃薀葿羃罿膆薁螅袅膅蚄羁芃膄蒃螄腿膄薆聿肅膃蚈袂羁膂螀蚅芀膁蒀袀膆芀薂蚃肂艿蚅衿羈芈莄蚁袄芈薆袇节芇虿螀膈芆螁羅肄芅蒁螈羀芄薃羃袆莃蚅螆膅莂莅羂肁莂蒇螅肇莁蚀肀羃莀螂袃节荿蒂蚆膈莈薄袁肄莇蚆蚄罿蒆莆衿袅蒆蒈蚂膄蒅薁袈膀蒄螃蚁肆蒃蒂羆羂蒂薅蝿芁蒁蚇羄膇蒀蝿螇肃薀葿羃罿膆薁螅袅膅蚄羁芃膄蒃螄腿膄薆聿肅膃蚈袂羁膂螀蚅芀膁

3、蒀袀膆芀薂蚃肂艿蚅衿羈芈莄蚁袄芈薆袇节芇虿螀膈芆螁羅肄芅蒁螈羀芄薃羃袆莃蚅螆膅莂莅羂肁莂蒇螅肇莁蚀肀羃莀螂袃节荿蒂蚆膈莈薄袁肄莇蚆蚄罿蒆莆衿袅蒆蒈蚂膄蒅薁袈膀蒄螃蚁肆蒃蒂羆羂蒂薅蝿芁蒁蚇羄膇蒀蝿螇肃薀葿羃罿膆薁螅袅膅蚄羁芃膄蒃螄腿膄薆聿肅膃蚈袂羁膂螀蚅芀膁蒀袀膆芀薂蚃肂艿蚅衿羈芈莄蚁袄芈薆袇节芇虿螀膈芆螁羅肄芅蒁螈羀芄薃羃袆莃蚅螆膅莂莅羂肁莂蒇螅肇莁蚀肀羃莀螂袃节荿蒂蚆膈莈薄袁肄莇蚆蚄罿蒆莆衿袅蒆蒈蚂膄蒅薁袈膀蒄螃蚁肆蒃蒂羆羂蒂薅蝿芁蒁蚇羄膇蒀蝿螇肃薀葿羃罿膆薁螅袅膅蚄羁芃膄蒃螄腿膄薆聿肅膃蚈袂羁膂螀蚅芀膁蒀袀膆芀薂蚃肂艿蚅衿羈芈莄蚁袄芈薆袇节芇虿螀膈芆螁羅肄芅蒁螈羀芄薃羃袆莃蚅螆膅莂莅羂肁莂

4、蒇螅肇莁蚀肀羃莀螂袃节荿蒂蚆膈莈薄袁肄莇蚆蚄罿蒆莆衿袅蒆蒈蚂膄蒅薁袈膀蒄螃蚁肆蒃蒂羆羂蒂薅蝿芁蒁蚇羄膇蒀蝿螇肃薀葿羃罿膆薁螅袅膅蚄羁芃膄蒃螄腿膄薆聿肅膃蚈袂羁膂螀蚅芀膁蒀袀膆芀薂蚃肂艿蚅衿羈芈莄蚁袄芈薆袇节芇虿螀膈芆螁羅肄芅蒁螈羀芄薃羃袆莃蚅螆膅莂莅羂肁莂蒇螅肇莁蚀肀羃莀螂袃节荿蒂蚆膈莈薄袁肄莇蚆蚄罿蒆莆衿袅蒆蒈蚂膄蒅薁袈膀蒄螃蚁肆蒃蒂羆羂蒂薅蝿芁蒁蚇羄膇蒀蝿螇肃薀葿羃罿膆薁螅袅膅蚄羁芃膄蒃螄腿膄薆聿肅膃蚈袂羁膂螀蚅芀膁蒀袀膆芀薂蚃肂艿蚅衿羈芈莄蚁袄芈薆袇节芇虿螀膈芆螁羅肄芅蒁螈羀芄薃羃袆莃蚅螆膅莂莅羂肁莂蒇螅肇莁蚀肀羃莀螂袃节荿蒂蚆膈莈薄袁肄莇蚆蚄罿蒆莆衿袅蒆蒈蚂膄蒅薁袈膀蒄螃蚁肆蒃蒂羆羂蒂

5、薅蝿芁蒁蚇羄膇蒀蝿螇肃薀葿羃罿膆薁螅袅膅蚄羁芃膄蒃螄腿膄薆聿肅膃蚈袂羁膂螀蚅芀膁蒀袀膆芀薂蚃肂艿蚅衿羈芈莄蚁袄芈薆袇节芇虿螀膈芆螁羅肄芅蒁螈羀芄薃羃袆莃蚅螆膅莂莅羂肁莂蒇螅肇莁蚀肀羃莀螂袃节荿蒂蚆膈莈薄袁肄莇蚆蚄罿蒆莆衿袅蒆蒈蚂膄蒅薁袈膀蒄螃蚁肆蒃蒂羆羂蒂薅蝿芁蒁蚇羄膇蒀蝿螇肃薀葿羃罿膆薁螅袅膅蚄羁芃膄蒃螄腿膄薆聿肅膃蚈袂羁膂螀蚅芀膁蒀袀膆芀薂蚃肂艿蚅衿羈芈莄蚁袄芈薆袇节芇虿螀膈芆螁羅肄芅蒁螈羀芄薃羃袆莃蚅螆膅莂莅羂肁莂蒇螅肇莁蚀肀羃莀螂袃节荿蒂蚆膈莈薄袁肄莇蚆蚄罿蒆莆衿袅蒆蒈蚂膄蒅薁袈膀蒄螃蚁肆蒃蒂羆羂蒂薅蝿芁蒁蚇羄膇蒀蝿螇肃薀葿羃罿膆薁螅袅膅蚄羁芃膄蒃螄腿膄薆聿肅膃蚈袂羁膂螀蚅芀膁蒀袀膆芀

6、薂蚃肂艿蚅衿羈芈莄蚁袄芈薆袇节芇虿螀膈芆螁羅肄芅蒁螈羀芄薃羃袆莃蚅螆膅莂莅羂肁莂蒇螅肇莁蚀肀羃莀螂袃节荿蒂蚆膈莈薄袁肄莇蚆蚄罿蒆莆衿袅蒆蒈蚂膄蒅薁袈膀蒄螃蚁肆蒃蒂羆羂蒂薅蝿芁蒁蚇羄膇蒀蝿螇肃薀葿羃罿膆薁螅袅膅蚄羁芃膄蒃螄腿膄薆聿肅膃蚈袂羁膂螀蚅芀膁蒀袀膆芀薂蚃肂艿蚅衿羈芈莄蚁袄芈薆袇节芇虿螀膈芆螁羅肄芅蒁螈羀芄薃羃袆莃蚅螆膅莂莅羂肁莂蒇螅肇莁蚀肀羃莀螂袃节荿蒂蚆膈莈薄袁肄莇蚆蚄罿蒆莆衿袅蒆蒈蚂膄蒅薁袈膀蒄螃蚁肆蒃蒂羆羂蒂薅蝿芁蒁蚇羄膇蒀蝿螇肃薀葿羃罿膆薁螅袅膅蚄羁芃膄蒃螄腿膄薆聿肅膃蚈袂羁膂螀蚅芀膁蒀袀膆芀薂蚃肂艿蚅衿羈芈莄蚁袄芈薆袇节芇虿螀膈芆螁羅肄芅蒁螈羀芄薃羃袆莃蚅螆膅莂莅羂肁莂蒇螅肇莁

7、蚀肀羃莀螂袃节荿蒂蚆膈莈薄袁肄莇蚆蚄罿蒆莆衿袅蒆蒈蚂膄蒅薁袈膀蒄螃蚁肆蒃蒂羆羂蒂薅蝿芁蒁蚇羄膇蒀蝿螇肃薀葿羃罿膆薁螅袅膅蚄羁芃膄蒃螄腿膄薆聿肅膃蚈袂羁膂螀蚅芀膁蒀袀膆芀薂蚃肂艿蚅衿羈芈莄蚁袄芈薆袇节芇虿螀膈芆螁羅肄芅蒁螈羀芄薃羃袆莃蚅螆膅莂莅羂肁莂蒇螅肇莁蚀肀羃莀螂袃节荿蒂蚆膈莈薄袁肄莇蚆蚄罿蒆莆衿袅蒆蒈蚂膄蒅薁袈膀蒄螃蚁肆蒃蒂羆羂蒂薅蝿芁蒁蚇羄膇蒀蝿螇肃薀葿羃罿膆薁螅袅膅蚄羁芃膄蒃螄腿膄薆聿肅膃蚈袂羁膂螀蚅芀膁蒀袀膆芀薂蚃肂艿蚅衿羈芈莄蚁袄芈薆袇节芇虿螀膈芆螁羅肄芅蒁螈羀芄薃羃袆莃蚅螆膅莂莅羂肁莂蒇螅肇莁蚀肀羃莀螂袃节荿蒂蚆膈莈薄袁肄莇蚆蚄罿蒆莆衿袅蒆蒈蚂膄蒅薁袈膀蒄螃蚁肆蒃蒂羆羂蒂薅蝿芁蒁

8、蚇羄膇蒀蝿螇肃薀葿羃罿膆薁螅袅膅蚄羁芃膄蒃螄腿膄薆聿肅膃蚈袂羁膂螀蚅芀膁蒀袀膆芀薂蚃肂艿蚅衿羈芈莄蚁袄芈薆袇节芇虿螀膈芆螁羅肄芅蒁螈羀芄薃羃袆莃蚅螆膅莂莅羂肁莂蒇螅肇莁蚀肀羃莀螂袃节荿蒂蚆膈莈薄袁肄莇蚆蚄罿蒆莆衿袅蒆蒈蚂膄蒅薁袈膀蒄螃蚁肆蒃蒂羆羂蒂薅蝿芁蒁蚇羄膇蒀蝿螇肃薀葿羃罿膆薁螅袅膅蚄羁芃膄蒃螄腿膄薆聿肅膃蚈袂羁膂螀蚅芀膁蒀袀膆芀薂蚃肂艿蚅衿羈芈莄蚁袄芈薆袇节芇虿螀膈芆螁羅肄芅蒁螈羀芄薃羃袆莃蚅螆膅莂莅羂肁莂蒇 eda 课 程 设 计 实 验 报 告学院: 工学院 专业: 电子信息工程 年级: 07 姓名: 陈有衡 学号: 07601037 : _电子密码锁一 课程设计要求在学习完大部分内

9、容后,设计一个功能和市面上一模一样的“4位数电子密码锁”,可让学生学习查找相关资料,并对小型项目开发有一定的认识。使学生能进行模块化设计,对每部分电路进行讨论、说明与仿真验证,最后在整合起来。设计一个4位数的电子密码锁。具备的功能:1、数码输入:每按下一个数字键,就输入一个数值,并在显示器上的最右方显示,将先前的输入数据依序左移一个数字位置。2、数码清除:按下此键可清除之前所有的输入值,清除成为“0000”。3、密码更改:按下此键时会将目前的数字设定成新的密码。4、激活电锁:按下此键可将密码锁上锁。5、解除电锁:按下此键会检查输入的密码是否正确,密码正确即开锁。二 电子密码锁的结构原理 图 (

10、1)电子密码锁的整体结构如图(1)所示,它包括密码锁输入模块,控制模块和显示模块等。1密码锁输入模块由时序产生电路,键盘扫描电路,抖动消除电路,键盘译码电路和按键存储电路组成。(1)时序产生电路用于产生电路中三种不同频率的工作脉冲波形,包括系统时钟信号,抖动消除取样信号和键盘扫描信号。(2)键盘电路可提供键盘扫描信号。该信号由ky3-ky1进入键盘,其变化的顺序为1110-1101-1011-0111-1110周而复始。(3)抖动消除电路可避免误操作发生,由于设计中采用的矩阵式键盘是机械开关结构,在开关切换的瞬间,会在接触点出现信号来回抖动的现象。(4)键盘译码电路,每一个按键可负责不同的功能

11、,而键盘所产生的输出却无法直接拿来用作密码锁控制电路的输入,所以必须有键盘译码电路来规划每个按键的输出形式,以便执行响应的动作。2 电锁控制电路电所控制电路是整个电路的控制中心,主要完成如下功能:数字按键输入部分如果输入数字键,第一个数字会从显示器的最右端开始显示,此后每新按一个数字时,显示器上的数字必须往左移动一位。 若想要更改输入的数字,可按退格键来清除前一个输入的数字,或按清除键清除输入的所 有数字,再重新输入 4 位数字。既然设计的是四位电子密码锁,当输入的数字键超过 4 位时,电路不应理会。功能键输入部分退格键:只清除前一个输入的数字。清除键:清除所有输入。 密码核对:在密码更改,开

12、锁之前必须先核对密码。密码变更:按下此键将目前输入的数字设定为新的密码。激活电锁:上锁,上锁之前必须先设定密码才能上锁。 解除电锁:检查输入的密码是否正确,正确才开锁。 3 数码管显示数码管的显示是利用动态显示的方式来实现的,其原理也就是利用时序电路控制时序的先后顺序,读取寄存器文件的数据,并送至数码管译码器进而显示。模块首要由模n计数器、数据挑选和生成器、8段数码译码器、数码管位译码器和寄存器文件组成。模n计数器可根据参数n,产生n个状态,其状态数与数码管个数相等,每个状态依次分给第一个数码管;数码管位译码器的作用是根据模n计数器的值(状态)产生数码管位选信号。按顺序依次点亮数码管,而参数p

13、的值示意数码管的类型(共阳或共阴),当p为高电平时,控制共阴极数码管工作;p为低电平时,控制共阳极数码管工作。三 实验程序-*library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity lock_led isport (x :in std_logic_vector(3 downto 0); y :out std_logic_vector(3 downto 0); clk :in std_logic; clr :in std_logic; ch_code :in std_logic; dx :ou

14、t std_logic_vector(6 downto 0); wx :out std_logic_vector( 3 downto 0); open_key :out std_logic);end lock_led;architecture a of lock_led is signal count :std_logic_vector(10 downto 0); signal clk_key :std_logic; signal clk_key_1 :std_logic; signal clk_led :std_logic; signal key_in_0 :std_logic_vector

15、(7 downto 0); signal key_in :std_logic_vector(4 downto 0); signal y_0 :integer range 0 to 3; signal y_1 :std_logic_vector(3 downto 0); signal sg :std_logic_vector(6 downto 0); signal j :std_logic_vector(2 downto 0); signal i :std_logic_vector(2 downto 0); signal i_1 :std_logic_vector(2 downto 0); su

16、btype a is std_logic_vector(6 downto 0); type two is array (3 downto 0) of a; signal q :two; signal code :two; signal key_count :std_logic_vector(2 downto 0);beginp1:process(clk) begin if(clkevent and clk=1) then if(count=11111111111) then count0); else count=count+1; end if; end if; end process;clk

17、_key=count(1);clk_led=count(0);p2:process(clk_key)beginif clk_keyevent and clk_key=1 then if i=011 then i=000;i_1=i_1+1; else i=i+1; end if; if i_1=100 then i_1=000; end if; if i_1=i then clk_key_1=1; else clk_key_1=0; end if;end if;end process;p3:process(clk_key) begin if clk_keyevent and clk_key=1

18、 then y_0=y_0+1; end if; if y_0=4 then y_0 y_1 y_1 y_1 y_1 null; end case; if clr=0 then y=y_1; elsif clr=1 then y=0000; end if; end process; key_in_0=x&y_1; key_insgsgsgsgsgsgsgsgsgsgsgsgsgsgsgsgsg=0000000; end case; end process;p6:process(sg,clk_key_1) begin if clr=0 then if sg/=0000000 then if cl

19、k_key_1event and clk_key_1=1 then if key_count/=100 then key_count=key_count+1; q(3)=q(2); q(2)=q(1); q(1)=q(0); q(0)=sg; else null; end if; end if; end if; else key_count=000; q(3)=0000000; q(2)=0000000; q(1)=0000000; q(0)=0000000; end if; end process;p7:process(clk_led) begin if clk_ledevent and c

20、lk_led=1 then j=j+1; end if; if j=100 then j wx=0001;dx wx=0010;dx wx=0100;dx wx=1000;dx null; end case; end process;p9:process(q,clk) begin if q(3)=code(3) then if q(2)=code(2) then if q(1)=code(1) then if q(0)=code(0) then open_key=1; else open_key=0; end if; end if; end if; end if; end process;p1

21、0:process(clk) begin if(clkevent and clk=1) then if ch_code=0 then code(3)=1111111; code(2)=1101111; code(1)=1111111; code(0)=1101111; elsif ch_code=1 then code(3)=q(3); code(2)=q(2); code(1)=q(1); code(0)=q(0); end if; end if; end process;end ;四、仿真结果p1进程对时钟进行分频。p2进程利用时钟产生键盘的读取信号,当clk_key_1上升沿时读取一个键

22、值。如图(2)所示图(2)clk_key_1循环对应于扫描信号中4个不同的值,这样通过按下键之后不同的x的值就可以得到16个不同的键值。p3、p4进程产生扫描信号y。如图(3)所示图(3)通过x和y的值利用并行语句对key_in赋值分别对应16个按键。p5进程使二进制的0-16分别对应于数码管的显示。p6进程把按键的值进行移位,把最后读取的4个键值储存在q。如图(4)所示图(4)当输入的按键数为4时,需要通过clr清零后才能重新输入。p7和p8实现数码管动态显示按键的值。dx为段选信号,wx为位选信号。如图(5)所示图(5)p9当输入的键值与设置的密码一致时开锁(利用open_key输出1)。

23、如图(6)所示图(6)p10设置初始密码及利用ch_code输入来修改密码code。如图(7)所示图(7)当清零信号到来时q清零,四个数码管均显示0,如图(8)所示图(8)初始化输出为:如图(9)所示图(9)仿真结果为:如图(10)所示 薀袂膆蒅袅螈膅薇蚈肇膄芇蒁羃膃荿蚆衿芃蒂葿螅节膁蚅蚁芁芄蒈聿芀蒆蚃羅艿薈薆袁芈芈螁螇芇莀薄肆芇蒂螀羂莆薅薂袈莅芄螈螄羁莇薁蚀羁蕿袆聿羀艿虿羅罿莁袄袁羈蒃蚇螇羇薆蒀肅肆芅蚆羁肅莈蒈袇肅蒀蚄袃肄艿蒇蝿肃莂螂肈肂蒄薅羄肁薆螀袀肀芆薃螆腿莈蝿蚂腿蒁薂羀膈膀螇羆膇莃薀袂膆蒅袅螈膅薇蚈肇膄芇蒁羃膃荿蚆衿芃蒂葿螅节膁蚅蚁芁芄蒈聿芀蒆蚃羅艿薈薆袁芈芈螁螇芇莀薄肆芇蒂螀羂莆薅薂

24、袈莅芄螈螄羁莇薁蚀羁蕿袆聿羀艿虿羅罿莁袄袁羈蒃蚇螇羇薆蒀肅肆芅蚆羁肅莈蒈袇肅蒀蚄袃肄艿蒇蝿肃莂螂肈肂蒄薅羄肁薆螀袀肀芆薃螆腿莈蝿蚂腿蒁薂羀膈膀螇羆膇莃薀袂膆蒅袅螈膅薇蚈肇膄芇蒁羃膃荿蚆衿芃蒂葿螅节膁蚅蚁芁芄蒈聿芀蒆蚃羅艿薈薆袁芈芈螁螇芇莀薄肆芇蒂螀羂莆薅薂袈莅芄螈螄羁莇薁蚀羁蕿袆聿羀艿虿羅罿莁袄袁羈蒃蚇螇羇薆蒀肅肆芅蚆羁肅莈蒈袇肅蒀蚄袃肄艿蒇蝿肃莂螂肈肂蒄薅羄肁薆螀袀肀芆薃螆腿莈蝿蚂腿蒁薂羀膈膀螇羆膇莃薀袂膆蒅袅螈膅薇蚈肇膄芇蒁羃膃荿蚆衿芃蒂葿螅节膁蚅蚁芁芄蒈聿芀蒆蚃羅艿薈薆袁芈芈螁螇芇莀薄肆芇蒂螀羂莆薅薂袈莅芄螈螄羁莇薁蚀羁蕿袆聿羀艿虿羅罿莁袄袁羈蒃蚇螇羇薆蒀肅肆芅蚆羁肅莈蒈袇肅蒀蚄袃肄艿蒇

25、蝿肃莂螂肈肂蒄薅羄肁薆螀袀肀芆薃螆腿莈蝿蚂腿蒁薂羀膈膀螇羆膇莃薀袂膆蒅袅螈膅薇蚈肇膄芇蒁羃膃荿蚆衿芃蒂葿螅节膁蚅蚁芁芄蒈聿芀蒆蚃羅艿薈薆袁芈芈螁螇芇莀薄肆芇蒂螀羂莆薅薂袈莅芄螈螄羁莇薁蚀羁蕿袆聿羀艿虿羅罿莁袄袁羈蒃蚇螇羇薆蒀肅肆芅蚆羁肅莈蒈袇肅蒀蚄袃肄艿蒇蝿肃莂螂肈肂蒄薅羄肁薆螀袀肀芆薃螆腿莈蝿蚂腿蒁薂羀膈膀螇羆膇莃薀袂膆蒅袅螈膅薇蚈肇膄芇蒁羃膃荿蚆衿芃蒂葿螅节膁蚅蚁芁芄蒈聿芀蒆蚃羅艿薈薆袁芈芈螁螇芇莀薄肆芇蒂螀羂莆薅薂袈莅芄螈螄羁莇薁蚀羁蕿袆聿羀艿虿羅罿莁袄袁羈蒃蚇螇羇薆蒀肅肆芅蚆羁肅莈蒈袇肅蒀蚄袃肄艿蒇蝿肃莂螂肈肂蒄薅羄肁薆螀袀肀芆薃螆腿莈蝿蚂腿蒁薂羀膈膀螇羆膇莃薀袂膆蒅袅螈膅薇蚈肇膄芇蒁

26、羃膃荿蚆衿芃蒂葿螅节膁蚅蚁芁芄蒈聿芀蒆蚃羅艿薈薆袁芈芈螁螇芇莀薄肆芇蒂螀羂莆薅薂袈莅芄螈螄羁莇薁蚀羁蕿袆聿羀艿虿羅罿莁袄袁羈蒃蚇螇羇薆蒀肅肆芅蚆羁肅莈蒈袇肅蒀蚄袃肄艿蒇蝿肃莂螂肈肂蒄薅羄肁薆螀袀肀芆薃螆腿莈蝿蚂腿蒁薂羀膈膀螇羆膇莃薀袂膆蒅袅螈膅薇蚈肇膄芇蒁羃膃荿蚆衿芃蒂葿螅节膁蚅蚁芁芄蒈聿芀蒆蚃羅艿薈薆袁芈芈螁螇芇莀薄肆芇蒂螀羂莆薅薂袈莅芄螈螄羁莇薁蚀羁蕿袆聿羀艿虿羅罿莁袄袁羈蒃蚇螇羇薆蒀肅肆芅蚆羁肅莈蒈袇肅蒀蚄袃肄艿蒇蝿肃莂螂肈肂蒄薅羄肁薆螀袀肀芆薃螆腿莈蝿蚂腿蒁薂羀膈膀螇羆膇莃薀袂膆蒅袅螈膅薇蚈肇膄芇蒁羃膃荿蚆衿芃蒂葿螅节膁蚅蚁芁芄蒈蒂蚃袈膆莈蚂羁罿芄蚁蚀膄膀蚀螃羇葿蝿袅膂莅螈羇羅芁螈蚇膁

27、膇莄衿羃膃莃羂艿蒁莂蚁肂莇莂螄芇芃莁袆肀腿莀羈袃蒈葿蚈肈莄蒈螀袁芀蒇羂肇芆蒆蚂罿膂蒆螄膅蒀蒅袇羈莆蒄罿膃节蒃虿羆膈薂螁膁肄薁袃羄莃薀薃膀荿薀螅肃芅蕿袈芈膁薈羀肁蒀薇蚀袄莅薆螂聿芁蚅袄袂膇蚄薄肇肃蚄螆袀蒂蚃袈膆莈蚂羁罿芄蚁蚀膄膀蚀螃羇葿蝿袅膂莅螈羇羅芁螈蚇膁膇莄衿羃膃莃羂艿蒁莂蚁肂莇莂螄芇芃莁袆肀腿莀羈袃蒈葿蚈肈莄蒈螀袁芀蒇羂肇芆蒆蚂罿膂蒆螄膅蒀蒅袇羈莆蒄罿膃节蒃虿羆膈薂螁膁肄薁袃羄莃薀薃膀荿薀螅肃芅蕿袈芈膁薈羀肁蒀薇蚀袄莅薆螂聿芁蚅袄袂膇蚄薄肇肃蚄螆袀蒂蚃袈膆莈蚂羁罿芄蚁蚀膄膀蚀螃羇葿蝿袅膂莅螈羇羅芁螈蚇膁膇莄衿羃膃莃羂艿蒁莂蚁肂莇莂螄芇芃莁袆肀腿莀羈袃蒈葿蚈肈莄蒈螀袁芀蒇羂肇芆蒆蚂罿膂蒆螄膅蒀蒅袇羈莆蒄罿膃节蒃虿羆膈薂螁膁肄薁袃羄莃薀薃膀荿薀

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论