实验8移位寄存器实验报告_第1页
实验8移位寄存器实验报告_第2页
实验8移位寄存器实验报告_第3页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、移位寄存器实验报告(一) 实验原理 移位寄存器是用来寄存二进制数字信息并且能进行信息移位的时序逻辑电路。根据移位寄存器存取信息的方式可分为串入串出、串入并出、并入串出、并入并出4种形式。74194是一种典型的中规模集成移位寄存器,由4个RS触发器和一些门电路构成的4位双向移位寄存器。该移位寄存器有左移,右移、并行输入数据,保持及异步清零等5种功能。有如下功能表CLRNCLKS1 S0× ×× ×1 10 11 00 0工作状态0×清零10保持1并行置数,Q为ABCD1串行右移,移入数据位为SRS11串行左移,移入数据位为SLS11保持74194

2、移位寄存器串行输入(二) 实验框图并行输入ABCD清零输入并行输出QA、QB、QC、QD模式控制输入时钟脉冲输入(三) 实验内容1. 按如下电路图连接电路十个输入端,四个输出端,主体为74194.2. 波形图 参数设置:End time:2us Grid size:100ns波形说明:clk:时钟信号; clrn:置0s1s0:模式控制端 sl_r:串行输入端abcd:并行输入 qabcd:并行输出结论:clrn优先级最高,且低有效高无效;s1s0模式控制,01右移,10左移,00保持,11置数重载;sl_r控制左移之后空位补0或补1。3. 数码管显示移位(1)电路图(2)下载验证管脚分配:a,b,c,d:86,87,88,89 bsg3.0:99,100,101,102clk:122 clk0:125 clrn:95q6.0:51,49,48,47,46,44,43 s0,s1

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论