quartus-II-软件做4的位乘法器设计(vhdl-语言)_第1页
quartus-II-软件做4的位乘法器设计(vhdl-语言)_第2页
quartus-II-软件做4的位乘法器设计(vhdl-语言)_第3页
quartus-II-软件做4的位乘法器设计(vhdl-语言)_第4页
quartus-II-软件做4的位乘法器设计(vhdl-语言)_第5页
已阅读5页,还剩2页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、用 quartus II 软件设计 4 位乘法器并行乘法的算法: 下面根据乘法例题来分析这种算法,题中M4 ,M3 ,M2 ,M1是被乘数,用 M 表示。 N4,N3,N2, N1 是乘数,用 N 表示乘法模块Library ieee;Use ieee.std_logic_1164.all;Use ieee.std_logic_unsigned.all;Entity and4a isPort(a:in std_logic_vector(3 downto 0);en:in std_logic;r:out std_logic_vector(3 downto 0);End and4a;Archite

2、cture behave of and4a isBeginProcess(en,a(3 downto 0)BeginIf (en=1) thenr=a;Elser=0000;End if;End process;End behave;加法模块Library ieee;Use ieee.std_logic_1164.all;Use ieee.std_logic_unsigned.all;Entity ls283 isPort (o1,o2:in std_logic_vector(3 downto 0); res:out std_logic_vector(4 downto 0); End ls28

3、3;Architecture behave of ls283 isBeginProcess(o1,o2)Begin res=(0&o1)+(0&o2);End process;End behave;主程序Library ieee;Use ieee.std_logic_1164.all;Use ieee.std_logic_unsigned.all;Entity mul4p isPort (op1,op2:in std_logic_vector(3 downto 0); result:out std_logic_vector(7 downto 0);End mul4p;Architecture

4、count of mul4p iscomponent and4a port (a:in std_logic_vector(3 downto 0); en:in std_logic;r:out std_logic_vector(3 downto 0);End component;Component ls283 port (o1,o2:in std_logic_vector(3 downto 0); res:out std_logic_vector(4 downto 0);End component;Signal sa:std_logic_vector(3 downto 0);Signal sb:

5、std_logic_vector(4 downto 0);Signal sc:std_logic_vector(3 downto 0);Signal sd:std_logic_vector(4 downto 0);Signal se:std_logic_vector(3 downto 0);Signal sf:std_logic_vector(3 downto 0);Signal sg:std_logic_vector(3 downto 0);-signal tmp1:std_logic;Beginsg=(0&sf (3 downto 1);-tmp1op2,en=op1(1),r=se);U

6、1:and4a port map(a=op2,en=op1(3),r=sa);U2:ls283 port map(o1=sb(4 downto 1),o2=sa,res=result(7 downto 3);U3:and4a port map(a=op2,en=op1(2),r=sc);U4:ls283 port map(o1=sc,o2=sd(4 downto 1),res=sb);u5:ls283 port map(o1=sg,o2=se,res=sd);u6:and4a port map(a=op2,en=op1(0),r=sf);result(0)=sf(0);result(1)=sd

7、(0);result(2)=sb(0);-result(7 downto 0)=00000000;End count;5.仿真波形图sn 予岁IrmMI-恃目巨TmoesEI ry 匚老tzpi欣2nDQBJ1cMcL 11Q1gghaJcQQgsJie31KJILuiIr Jikia自荷靜浦笛l中芒并口 芒商苗薛涵浴卜餌订0 口:BJm 】口一ia二二1:厂DTfKIXCXIHCYDDIID二三起 旨mtrb.nn2m1!JE gdHw-nlKA严f HK畔、.、塚 2 二二 p 已占 L5iH】弐亠 nm iiglJhYi富ll-tr-dlv-H ASWLm氐E pr紇|1空 But *6

8、13: Jtle*?1T口 a;匚QPr L:一一二& TULE lLLkLur 中fe-邑君rrw-吉盗金做(&齐求JJO5T血口rt; fai FL議.?ulHrft* ,H f弋 l.lM k p芸 alLF: uliH 山Sr-?#.mIni亘一rlKtD- Di ijn diKkD1r1ra Nil 涉!lB_-Im电u 丘 M.團亘孑0韋u口 EnrlmlrlrnuiJ -J I _ _J _J -J J nJ nJ 1rL M MspdF占 JHrJLu.J 0irul L总基黨首zrHgn mm n nrunnm rm rrnscu ltjjeo3 = n r-LrulJuunL n55hd-3 3J H4r33LETJS_ rLJFHtlFJCPnFJE.IPhgc l-r _J - - - - L_r i !i

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论