基于FPGA多功能波形发生器的毕业设计_第1页
基于FPGA多功能波形发生器的毕业设计_第2页
基于FPGA多功能波形发生器的毕业设计_第3页
基于FPGA多功能波形发生器的毕业设计_第4页
基于FPGA多功能波形发生器的毕业设计_第5页
已阅读5页,还剩19页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、基于FPGA的多功能波形发生器设计摘要本文的设计内容是以FPGA为平台,用VHDL语言设计多种波形系统,实现数字信号发生器的设计。 FPGA具有严密性高、功能消耗低、占用空间小、可靠性高等特点。无需过多考虑硬件连接;本设计采用VHDL语言进行系统描述,使数字信号发生器可以产生正弦波、三角波、方波等独立波形,而且对产生波形的频率和幅度的调整较多为方便起见,还可以使用AD和低通来实现从数字电路到模拟电路的转换。关键词:多波形发生器; FPGA;高密度脂蛋白; Quartus _目录 HYPERLINK l _Toc354604812 声明 PAGEREF _Toc354604812 h 一 HYP

2、ERLINK l _Toc354604813 摘要 PAGEREF _Toc354604813 h 二 HYPERLINK l _Toc354604814 摘要III _ PAGEREF _Toc354604814 h _ TOC o 1-3 h z u HYPERLINK l _Toc197865193 1.1 简介 PAGEREF _Toc197865193 h 1 HYPERLINK l _Toc197865194 1.2 背景与意义 PAGEREF _Toc197865194 h 1 HYPERLINK l _Toc197865196 1.3 国家发展现状 PAGEREF _Toc19

3、7865196 h 2 HYPERLINK l _Toc197865197 2 设计要求 PAGEREF _Toc197865197 h 2 HYPERLINK l _Toc197865198 3.3 按键控制模块 PAGEREF _Toc197865198 h 2 HYPERLINK l _Toc197865199 3.4 显示模块 PAGEREF _Toc197865199 h 3 HYPERLINK l _Toc197865200 4 设计原则 PAGEREF _Toc197865200 h 3 HYPERLINK l _Toc197865201 4.1 MCU模块 PAGEREF _T

4、oc197865201 h 3 HYPERLINK l _Toc197865202 4.1.1 单片机介绍 PAGEREF _Toc197865202 h 3 HYPERLINK l _Toc197865203 4.1.2 MCU外围电路介绍 PAGEREF _Toc197865203 h 5 HYPERLINK l _Toc197865204 4.2 D/A 模块 PAGEREF _Toc197865204 h 6 HYPERLINK l _Toc197865205 4.2.1 D/A电路介绍 PAGEREF _Toc197865205 h 6 HYPERLINK l _Toc1978652

5、06 4.2.2 DAC0832及其外围电路 PAGEREF _Toc197865206 h 6 HYPERLINK l _Toc197865207 4.2.3 D/A 转换的计算 PAGEREF _Toc197865207 h 8 HYPERLINK l _Toc197865208 4.3 LED数码管显示模块 PAGEREF _Toc197865208 h 10 HYPERLINK l _Toc197865209 4.3.1 数码管显示简介 PAGEREF _Toc197865209 h 10 HYPERLINK l _Toc197865210 4.3.2 数码管编码表 PAGEREF _

6、Toc197865210 h 11 HYPERLINK l _Toc197865211 4.4 直流电源 PAGEREF _Toc197865211 h 12 HYPERLINK l _Toc197865212 4.4.1 直流电源的制造原理 PAGEREF _Toc197865212 h 12 HYPERLINK l _Toc197865213 4.4.2 输出电源的工作原理 PAGEREF _Toc197865213 h 13 HYPERLINK l _Toc197865214 5 软件部分 PAGEREF _Toc197865214 h 14 HYPERLINK l _Toc197865

7、215 5.1 开发工具介绍 PAGEREF _Toc197865215 h 14 HYPERLINK l _Toc197865216 5.2 框图: PAGEREF _Toc197865216 h 15 HYPERLINK l _Toc197865217 6 仿真结果数据分析 PAGEREF _Toc197865217 h 15 HYPERLINK l _Toc197865218 7 结论 PAGEREF _Toc197865218 h 16 HYPERLINK l _Toc197865219 参考文献 PAGEREF _Toc197865219 h 17 HYPERLINK l _Toc1

8、97865220 附录一:电路图 PAGEREF _Toc197865220 h 18 HYPERLINK l _Toc197865221 附录二:源程序 PAGEREF _Toc197865221 h 191.1 简介随着科学技术的发展,在计算机技术的带动下,电子技术飞速发展,现代电子产品几乎渗透到社会的各个领域,有力地促进了社会生产力的发展和社会信息化的提高。在数字化的道路上,我国的电子技术也发生了一系列重大变化。电子技术发展的基础是微电子技术的进步,这体现在大规模集成电路的加工技术上。现在,微控制器或单片机被广泛使用。 ,电子系统设计的里程碑式飞跃。在可编程芯片CPLD(Complex

9、Programmable Logic Device)和FPGA(Field Programmable Gate Array)上实现电子系统设计必将成为未来电子系统设计的一个发展方向。因此,今天电子设计技术的发展将面临另一个具有更大意义的突破,即在EDA(电子设计自动化)的基础上广泛应用CPLD/FPGA。本设计将使用基于VHDL的EDA设计来实现波形发生器的各种功能。1.2背景及意义在电子技术领域中,经常使用波形、频率和幅度可调的电信号,产生这种电信号的电子仪器就是信号发生器。信号发生器是一种常见的信号源,常用于科研生产实践和教学实验。在通信系统的科学研究实验中,经常使用不同频率和幅度的信号,

10、如正弦波、三角波、锯齿波、反锯齿波、梯形波、方波、阶梯波等。信号发生器是最常见、最基本、应用最广泛的电子仪器。传统的波形发生器一般采用模拟分立元件实现,产生的波形类型受电路硬件限制,体积庞大,灵活性和稳定性较差。基于数字技术的数字信号发生器具有良好的性能指标。现场可编程门阵列器件容量大、运算速度极快、可现场编程,在实际系统中得到广泛应用。随着电子系统的发展,数字信号发生器的应用将越来越广泛,将成为模拟复杂信号的标准。能够产生测试信号的仪器统称为信号源,用于产生对被测电路需要特定参数的电测试信号。信号源可以根据用户对其波形的命令生成信号。信号源向被测电路提供所需的已知信号,然后测量其他仪器的参数

11、。信号源的种类很多,其中一种又可分为混合信号源和逻辑信号源。其中,混合信号源主要输出模拟波形,逻辑信号源输出数字码形。混合信号源还可以分为函数信号发生器、函数发生器,函数信号发生器输出标准波形,如正弦波、方波等,函数发生器输出用户定义的任意波形;逻辑信号发生器可分为脉冲信号发生器、码型发生器,脉冲信号发生器可驱动方波或脉冲波输出,码型发生器可驱动多通道数字码型。1.3国外发展现状使用可变时钟和计数器寻址波形存储器的任意波形发生器 4已得到广泛应用,采样时钟频率高且可调,但这种波形发生器对硬件要求较高,需要锁相环和截止频率可调低通滤波器已逐渐退出市场。现在市场上的大多数数字信号发生器都使用直接数

12、字合成(DDS)技术。该波形发生器不仅可以产生变频的载频信号和调制信号,还可以参与计算机协作,生成自定义的任意信号。为了实用和方便。从目前的发展情况来看,国外的发展较为成熟。以泰克、安捷伦为代表的国际电子测量仪器公司在这些领域的研发成果丰硕。他们的产品在技术上比较成熟,大部分市场都归他们所有,但是价格昂贵,一般研究的成本也比较高。各国市场的价格都很高。我国任意波形发生器的发展始于1990年代。近年来,我国一些厂家取得了长足的进步。他们一直在学习和借鉴他们的研究产品并加以改进,并取得了可喜的成果。但与国外的研究成果相比,还有很大差距。各方面都还处于发展阶段。本文的主要研究内容是参考直接数字频率合

13、成( DDS)技术的原理6 ,以Quartus II软件为研究平台,以VHDL语言为开发语言平台,基于FPGA实现一个数字信号发生器,实现频率幅度可调正弦波、三角波、锯齿波、反锯齿波、梯形波、方波、阶梯波等。2 设计要求1、实现各种波形的输出。这些波形包括正弦波、三角波、锯齿波、反锯齿波、梯形波、方波、阶梯波等。2.输出频率范围:1kHz - 10MHz,3.具有频率设定功能,频率步进:100Hz3、输出电压幅值可调,50负载电阻上电压峰峰值大于1V。4、通过开关可以方便地选择某个波形的输出。工作要求:1. 完成基本要求的方案比对、设计论证、理论分析计算、电路图及相关设计文件。2、能够完成基本

14、要求的硬件电路设计、制作和调试。3、基本要求可以完成软件调试,测试结果符合要求。4.完成扩展要求3设计原则和设计指标3.1 DDS技术与大多数数字信号处理技术一样,DDS 仍然基于奈奎斯特定理。奈奎斯特采样定理是对任何模拟信号进行数字处理的基础。它描述了在将带限模拟信号采样成离散序列后,能否从离散序列中恢复出原始模拟信号的问题。奈奎斯特采样定理告诉我们,当采样频率大于或等于模拟信号最高频率的两倍时,可以从采样得到的离散序列中恢复原始模拟信号而不会失真。只是在 DDS 技术中,这个过程是相反的。 DDS不对模拟信号进行采样,而是假设采样过程已经发生并且采样值已经量化,如何通过某种方法从量化值重构

15、原始信号的问题。DDS电路一般由参考时钟、相位累加器和波形存储通滤波器(LPF)组成。其结构如图 2.1 所示。图 2.1 DDS 基本结构框图其中,fc为参考时钟频率,K为频率控制字,N为相位累加器位数,A为波形存储器地址位数,D为数据字长波形存储器的位数和 D/A 转换器的位数。DDS系统中的参考时钟通常由高稳定性晶振产生,作为整个系统各组成部分的同步时钟。频率控制字(FCW)实际上是二进制编码的相位增量值,作为相位累加器的输入。相位累加器由加法器和寄存器级联而成。它将寄存器的输出反馈到加法器的输入端,实现累加的功能。在每个时钟脉冲fc,相位累加器将频率字K累加一次,累加器的输出相应地增加

16、一个相位增量。由此可见,相位累加器的输出数据本质上是一个K步长的线性递增序列(在相位累加器溢出之前),反映了合成信号的相位信息。相位累加器的输出接波形存储器的地址线,相当于在波形存储器中查表,这样就可以查出波形存储器中存储的信号采样值(二进制码值) .在系统时钟脉冲的作用下,相位累加器不断累加,即不断查表。波形存储器的输出数据被送到D/A转换器,D/A转换器将数字形式的波形幅度值转换成一定频率的模拟信号,从而重新合成波形。如果波形存储器存储的是正弦波幅值的量化数据,那么D/A转换器的输出就是类似于正弦波的阶梯波,需要在后级加一个低通平滑滤波器来进一步抑制不必要的杂波以获得频谱。比较纯正的正弦波

17、信号。图 2.2 显示了 DDS 各部分的输出信号。由于字长的限制,相位累加器累加到一定值后,会发生累加溢出,使波形存储器的地址循环一次,输出波形循环一次。相位累加器的溢出频率是合成信号的频率。可见,频率控制字K越大,相位累加器溢出越快,输出频率越高。因此,通过改变频率字(即相位增量),可以改变相位累加器的溢出时间,在参考频率不变的情况下改变输出信号的频率。图 2.2 DDS 各部分输出波形2.2 FPGA简介从数字集成电路的出现到现在,经历了早期的电子管、晶体管、中小型集成电路、大规模、超大规模集成电路(VLSIC)和许多特种集成电路的发展过程具有特定功能。然而,随着电子技术的发展,集成电路

18、的设计和制造任务并没有完全由半导体制造商承担。系统设计人员更喜欢自己设计专用集成电路(ASIC )芯片,并希望ASIC的设计周期尽可能短。最好在实验室设计出合适的ASIC芯片,立即投入使用。在实际应用中,出现了现场可编程逻辑器件(FPLD ) ,其中CPLD和FPGA应用最为广泛1 。CPLD是Complex Programmable Logic Device(复杂可编程逻辑器件)的缩写,FPGA是Field Programmable Gate Array(现场可编程门阵列)的缩写。两者的功能基本相同,但实现原理略有不同,但有时两者的区别可以忽略不计。不同的制造商对可编程逻辑器件的称呼不同。

19、Altera 在其可编程逻辑器件产品中将 MAX 系列(产品术语技术,EEPROM 技术)和 FLEX 系列(查找表技术,SRAM 技术)称为 CPLD;而SRAM技术,基于查表技术,需要插件。用于配置的FLEX系列的EPROM称为FPGA。早期的可编程逻辑器件属于低密度PLD(Programmable Logic Devices),结构简单,设计灵活,但规模小,难以实现复杂的逻辑功能。 1985年,赛灵思公司首次推出现场可编程门阵列FPGA,这是一种新型的高密度PLD,采用CMOS-SRAM工艺制造。它的结构不同于阵列型PLD。模块之间可以灵活连接,具有高密度、编程速度快、设计灵活和可重构设

20、计能力等诸多优点。FPGA一般由6部分组成,即可编程输入/输出单元、基本可编程逻辑单元、嵌入式块RAM、丰富的布线资源、底层嵌入式功能单元和嵌入式专用硬核。各单元简介如下:(1)可编程输入/输出单元(I/O单元)。目前大部分FPGA I/O单元都是以可编程方式设计的,即通过软件的灵活配置,可以适应不同的电气标准和I/O物理特性;匹配阻抗特性,上下拉电阻可调;输出驱动可以调节电流的大小等;(2)基本可编程逻辑单元。 FPGA的基本可编程逻辑单元由查找表(LUT)和寄存器(Register)组成。查找表完成纯组合逻辑功能。 FPGA 部分寄存器可以配置为具有同步/异步复位和置位以及时钟使能的触发器

21、,也可以配置为锁存器。 FPGA一般依靠寄存器来完成同步时序逻辑设计。一般来说,经典的基本可编程单元的配置是一个寄存器加一个查找表,但是不同厂家的寄存器和查找表的结构,以及寄存器和查找的组合方式都有一定的差异。表也不同。(3) 嵌入式块 RAM。目前大多数 FPGA 都具有嵌入式块 RAM。嵌入式块 RAM 可配置为单端口 RAM、双端口 RAM、伪双端口 RAM、CAM、FIFO 和其他存储结构。(4)丰富的布线资源。布线资源连接FPGA部分中的所有单元。连接的长度和过程决定了信号在连接上的驱动能力和传输速度。布线资源的划分:一个全局专用路由资源:完成全局时钟的路由和设备部分的全局复位/设置

22、;B长线资源:用于完成设备bank之间一些高速信号和一些秒全局时钟信号的布线;C短线资源:用于完成基本逻辑单元之间的逻辑互连和布线;D 其他:逻辑单元部分有专用时钟、复位等各种布线资源和控制信号线。(5)底层嵌入功能单元。它由制造商和芯片型号决定。(6) 嵌入式特殊硬核。它不同于“底部嵌入单元”。这里的硬核主要是那些通用性比较弱的芯片。并非所有 FPGA 芯片都包含硬核。FPGA的基本特性如下: (1)使用FPGA设计ASIC电路,用户无需贴膜即可获得合适的芯片。 (2) FPGA 可用作其他全定制或半定制 ASIC 电路的中型样品。 (3) FPGA部门有丰富的触发器和I/O管脚。 (4)

23、FPGA是ASIC电路中设计周期最短、开发成本最低、风险最低的器件之一。 (5) FPGA采用高速CHMOS技术,功耗低,兼容CMOS和TTL电平。使用FPGA时,可以根据不同的配置方式采用不同的编程方式。上电时,FPGA 芯片将 EPROM 中的数据读入芯片编程 RAM。配置完成后,FPGA进入工作状态。断电后,FPGA返回白膜,内部逻辑关系消失。因此,FPGA可以重复使用。 FPGA的编程不需要专门的FPGA编程器,只需要使用通用的EPROM和PROM编程器即可。当需要修改FPGA功能时,只需更换一块EPROM即可。这样,同一块FPGA,具有不同的编程数据,就可以产生不同的电路功能。因此,

24、FPGA的使用非常灵活。 FPGA有多种配置模式:并行主模式是一个FPGA加一个EPROM模式;主从模式可以支持一个PROM对多个FPGA进行编程;串行模式可以使用串行 PROM 对 FPGA 进行编程;外围模式可以使用FPGA作为单片机。处理器的外围设备,由微处理器编程。2.3 VHDL简介VHDL即Very-High-Speed Integrated CircuitHardware Description Language,诞生于1982年。1987年底,VHDL被IEEE和美国国防部认定为标准硬件描述语言。VHDL主要用于描述数字系统的结构、行为、功能和接口。除了包含许多具有硬件特性的语

25、句外,VHDL 的语言形式和描述风格以及语法与总则计算机高级语言非常相似。 VHDL程序结构的特点是将工程设计或设计实体(可以是组件、电路模块或系统)分为外部(或部分、端口)和部分(或可见部分),它既涉及实体的功能部分和完成算法的部分。为设计实体定义了外部接口后,其他设计在开发完成后可以直接调用该实体。这种将设计实体划分为外部部分的概念是 VHDL 系统设计的一个基本点。VHDL语言可以成为标准化的硬件描述语言并得到广泛应用。它必须具有其他硬件描述语言所没有的许多优点。综上所述,VHDL语言主要有以下优点:(1) VHDL语言功能强大,设计方法多样。VHDL语言具有强大的语言结构,非常复杂的硬

26、件电路只能用简单明了的VHDL语言程序来描述。同时,它还具有多级电路设计描述功能。此外,VHDL语言可以同时支持同步电路、异步电路和随机电路的设计和实现,这是其他硬件描述语言所无法比拟的。 VHDL语言设计方法灵活多样,既支持top-down设计方法,也支持bottom-up设计方法;模块化设计方法和分层设计方法。(2) VHDL语言具有强大的硬件描述能力。VHDL语言具有多级电路设计描述功能,既可以描述系统级电路,也可以描述门级电路。同时,VHDL语言还支持惯性延迟和传输延迟,从而可以准确建立硬件电路的模型。 VHDL语言强大的描述能力还体现在其丰富的数据类型上。 VHDL语言同时支持标准定

27、义的数据类型和用户定义的数据类型,给硬件描述带来了更大的自由度。(3) VHDL语言移植能力强。VHDL语言强大的可移植性主要体现在:对于同一硬件电路的VHDL语言描述,可以从一个模拟器移植到另一个,从一个综合器移植到另一个,或者从一个工作平台移植到另一个工作平台执行。(4) VHDL语言的设计描述与器件无关。使用VHDL语言描述硬件电路时,设计者无需首先考虑要设计的器件。这样做的好处是设计者可以专注于电路设计的优化而不考虑其他问题。硬件电路的设计描述完成后,VHDL语言可以实现多种不同的器件结构。(5) VHDL语言程序易于共享和重用。VHDL语言采用基于库的设计方法。在设计过程中,设计人员

28、可以构建各种可重用的模块。大规模硬件电路的设计,不能从门级电路一步步设计,而是一些模块的积累。这些模块可以预先设计或使用以前设计的存档模块,将这些模块存储在库中,然后在未来的设计中重用它们。由于VHDL语言是一种用于描述、仿真、综合、优化和布线的标准硬件描述语言,它可以使设计结果易于在设计人员之间交流和共享,从而减少硬件电路设计的工作量,缩短开发周期。2.4 Quartus II 简介Quartus II 是 Altera 的综合 PLD 开发软件。它支持各种设计输入形式,如原理图、 VHDL和 AHDL(Altera 硬件描述语言)。它嵌入了自己的合成器和模拟器,可以完成从设计输入到AHDL

29、(Altera硬件描述语言)的过程。用于硬件配置的完整 PLD 设计流程。Quartus II 可以在 XP、Linux 和 Unix 上使用。除了使用 Tcl 脚本完成设计过程外,它还提供了完整的用户图形界面设计方法。具有运行速度快、界面统一、功能集中、易学易用等特点。Quartus II 支持 Altera 的 IP 内核并包含 LPM/MegaFunction 宏功能块库,使用户能够充分利用成熟的块,简化设计复杂性并加快设计速度。对第三方 EDA 工具的良好支持也使用户能够在设计过程的各个阶段使用熟悉的第三方 EDA 工具。此外,Quartus II 通过与 DSP Builder 工具

30、和 Matlab/Simulink 相结合,可以轻松实现各种 DSP 应用系统;它支持Altera的系统级可编程(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一个综合开发平台。MaxplusII 作为Altera 上一代PLD 设计软件,因其出色的易用性而被广泛使用。目前 Altera 已停止更新对 Maxplus II 的支持。与 Quartus II 相比,不仅是支持的设备类型丰富,而且图形界面的变化。 Altera 在 Quartus II 中包含了 SignalTap II、Chip Editor 和 RTL Viewer 等多种设计辅助工具,集成了 SOPC

31、 和 HardCopy 设计流程,并继承了 Maxplus II 友好的图形界面和易于使用的方法。Altera QuartusII 作为一种可编程逻辑设计环境,由于其强大的设计能力和直观易用的界面,越来越受到数字系统设计人员的欢迎。Altera 的 Quartus II 可编程逻辑软件是第四代 PLD 开发平台。该平台支持工作组环境中的设计要求,包括支持基于 Internet 的协作设计。 Quartus 平台与来自 EDA 供应商的开发工具兼容,例如 Cadence、ExemplarLogic、MentorGraphics、Synopsys 和 Synplicity。改进了软件的LogicL

32、ock模块设计能力,增加了FastFit编译选项,提升了网络编辑性能,提升了调试能力。分析此问题后,根据设计要求,首先确定系统整体设计原理框图如图1所示:图1 原理框图2总体设计方案2.1 设计思路2.1.1硬件系统设计( 1)数控核心设计:系统以单片机为核心,采用目前比较普遍的51系列单片机。单片机计算能力强,软件编程灵活,自由度大,可实现外围电路的智能控制。(2)数模转换芯片DAC0832:典型的数模转换芯片DAC0832是采用CMOS工艺制造的8位单片机数模转换器。 8位D/A,分辨率为1/256,采样电阻选择2欧,D/A输出分辨率为10mA电流,步长为10mA,完全可以满足本设计的要求

33、。(3) A/D转换芯片ADC0809: ADC0809是基于逐次逼近原理进行模数转换的器件,采样频率为8位。它的部分有一个8通道多路复用器,可以根据地址码锁存解码后的信号,只选择8个单断模拟输入信号中的一个进行A/D转换。由于本设计只有输出电流的采集和8个输入通道,完全可以满足本系统的设计要求。(4)键盘电路:只需6个按键即可调节当前设定值,独立按键的键盘接口即可满足电路的设计要求。(5)显示电路:系统需要实现0mA 2000mA的输出电流。为了同时显示电流的设定值和检测值,需要8个数码管显示。显示电路采用串行通信方式,使用8个74LS164将串行数据转换为并行输出,驱动8位数码管。2.1.

34、2软件系统设计系统软件完成四个功能:(1)系统初始化,包括各个外围接口芯片的初始化和当前初始值的初始化; (2) 键盘检测,包括电流预置和步进调整; (3)采用比较算法进行电流调整,实现输出电流的精确控制; (4)实现D/A转换和A/D转换。2.2 设计框图根据数控直流电流源的要求,不适合使用简单的恒流源电路场效应管和恒流二极管,因为要求输出电流范围更大,步进要求更精确,纹波电流更小.不适用于开关电源的开关恒流源,否则难以满足输出范围和精度及纹波的要求。根据系统要求,采用D/A转换后接运放组成的功率放大,控制D/A的输入,控制电流值。系统原理框图如图1所示。 键盘电路 显示电路 AT89S51

35、 单片机系统 D/A 转 换 A/D转 换V/A转换与功率放大 负 载采 样 电 路图13设计原理分析3.1 单片机最小系统单片机最小系统的设计包括时钟电路和复位电路的设计。该电路中晶振频率为12MHz,单片机的机器周期为1s。复位电路采用手动复位和上电复位相结合的方式。3.2 键盘电路需要 6 个键来设置当前值。本电路中的按键为独立按键,分别与P1.2 P1.6和P3.2相连。为了使电路可靠工作,每个端口连接一个阻值为10K的上拉电阻。电路连接如图2所示。电流值调节按钮分布如图3所示。3.3 D/A转换电路D/A转换采用典型的转换芯片DAC0832。芯片的8位数据是并行输入的,所以直接连接到

36、单片机的P2口。并将DAC0832连接成直通工作模式。图2 确 定 设 置图33.4 A/D转换电路A/D转换采用典型的转换芯片ADC0809。 ADC0809是一款基于逐次逼近原理进行模数转换的器件,采样频率为8位。它的部分有一个8通道多路复用器,可以根据地址码锁存解码后的信号,只选择8个单断模拟输入信号中的一个进行A/D转换。ADC0809芯片需要使用500KHz的时钟信号进行转换。该信号是单片机ALE端输出的2MHz信号,由两个D触发器分频得到。ADC0809的工作过程是:首先输入3位地址,使ALE=1,并将地址存入地址锁存器。该地址被解码以选通比较器的 8 个模拟输入之一。 START

37、 的上升沿将重置逐次逼近寄存器。下降沿开始 A/D 转换,之后 EOC 输出信号变为低电平,表示转换正在进行中。直到A/D转换完成,EOC变为高电平,表示A/D转换结束,结果数据已存入锁存器,该信号可作为中断申请。当OE输入为高电平时,输出三态门打开,转换结果的数字量输出到数据总线。3.5 电压电流转换和功率放大电路压控恒流源是系统的重要组成部分。它的作用是控制电流随电压的变化。图4为数控电流源的恒流电路和加法电路。运算放大器LM324与晶体管V1、V2组成电压电流转换器,U1A、U1B和电阻R1-R8利用D/A的输出实现电压的数字控制。 LM324的主要功能是实现精确的V/I转换。 TIP4

38、2C(10A)是一款大功率PNP晶体管,主要功能是实现功率放大。由于输出电流范围为0-2000mA,由于采样电阻为2欧,所以电压降为0-4000mV,即U1的电压范围为11V-14.6V。单纯依靠D/A(0-5V)是不能满足要求的。加法器主要用来提升U1点的电压,当D/A输出为0-5V时,将U1点的电位提升到11V,使R9导通图4获得0-2A的电流。 V/I转换的理论分析:U1A的输出为:,由于R 5 =R 4 =R 2 =10K,所以。U1B反相动作后,U2A同相输入端的电压为R9采用2欧精密电阻,当U DA输出为0时,调整可变电阻R1,即调整U 0的值,使U 0的值为11V,I RL =

39、2A 即可达到。根据20mA2000mA的要求,可以计算出系数K,根据公式得到D/A转换器的输入值,进而得到准确的输出电流值。3.6输出电流采样电路输出电流采样电路利用采样电阻两端的电压差,按照I=V/R转换电流值。电路原理图如图5所示。通过对电阻R9两端的电压值进行采样,通过运放送入A/D转换器ADC0809进行转换。由于 R9 为 2 欧姆,它可以测量 0 到 2000mA 的电流范围。 R9两端电压变化范围为04V,满足A/D转换的要求和系统设计的精度要求。3.7 显示电路设计为了同时显示电流的设定值和检测值,需要8个数码管显示。显示电路采用串行通信方式,使用8个74LS164将串行数据

40、转换为并行输出,驱动8位数码管。显示电路如图6所示。图5图63.8 程序流程图为了给反馈控制留出尽可能多的CPU时间,显示由用于刷新显示的中断控制。这样,CPU 大部分时间用于检测负载电路中的电流,并与设定值进行比较,以降低纹波电流。程序流程图如图7所示。初始化初始化中断显示刷新中断返回A/D转换是否按键?D/A输出开始负载YESNO7 结论在本次毕业设计的过程中,将数字电路、模拟电路、单片机、C语言进行了集成,对单片机进行了编程,起到了很好的巩固大学所学知识的作用。同时也应用于Protell 99软件绘图和ISIS软件。专业软件仿真,仿真结果比较准确,但实物还没有完全实现功能。在这个过程中,

41、我意识到自己的知识太狭隘了,可能是因为我生产的真正产品太少,对一些芯片了解甚少。我必须在以后的工作中补充这一点。同时,我也认识到理论与实践的区别。通过实际生产,可以更好的了解一些模块电路和芯片的功能,尤其是在检查电路的时候,可以对电路有更深的了解。在写论文的时候,让自己意识到,你应该认真对待每一件事,纪律严明。在数控直流恒流源的设计制造过程中,我们深刻认识到理论联系实际的重要性。本系统的开发主要应用于模拟电子技术、数字电子技术、单片机控制技术、大功率电源设计、电子技术等方面的知识。所设计的基于单片机的压控恒流源芯片程控符合题主的要求,同时我们的动手能力和电子设计能力也得到了很大的锻炼。系统输出

42、实际测试结果表明,系统输出电流稳定,不随负载和环境温度变化,精度高。输出电流误差范围为5mA,输出电流可在20mA2000mA范围内任意设定,可实际应用。用于需要高稳定性和低功率恒流源的领域。此次设计和制作为我们提供了锻炼能力的机会,也让我深刻认识到自己的知识和能力还有很多不足,让我们认识到电子技术和设计的兴趣,以其强大而远大- 达到实用性。未来,我会更加努力学习。参考 1 王港电气工程实用指南M科学和技术 2 明英 8051单片机课程设计培训课程M清华大学。 3 简.中国电力300例实用声光及无线电遥控电路 4 洁华电子技术课程设计指导,高等教育 5 福安电子电路、科学与技术的设计与实现6

43、王玉银.数字电路逻辑设计M高等教育。附录一:电路图附录二:源程序组织机构 0000HLJMPMAINORG 000BHLJMP 服务组织机构 0030HMAIN:MOV TMOD,#01HMOV TL0,#0B0HMOV TH0,#3CHSETB ET0SETB EASETB P3.2CLR P3.3SETB P3.4MOV P0,#0FFHMOV P2,#199移动 30H,#00H移动 31H,#00H移动 32H,#00H移动 33H,#00H移动 34H,#00H移动 35H,#00H移动 36H,#00H移动 37H,#00H移动 40H,#00H移动 44H,#00H移动 45H,#00HMOV R0,#35HMOV R5,#199LCALL XS广告:LCALL AJMOV P0,#0FFHSETB P3.3SETB P3.4CLR P3.5无无无CLR P3.3AD1:MOV C,P3.4JC AD1AD2:MOV C,P3.4JNC AD2SETB P3.5MOV A,P0MOV 40H,ASETB TR0BJ:MOV A,40HCJNE A,44H,BJ1LJMP广告BJ1:JC BJ3MOV A,45HCJNE A,#00H,BJ2LJMP

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论