EDA课程设计电梯控制器的VHDL设计_第1页
EDA课程设计电梯控制器的VHDL设计_第2页
EDA课程设计电梯控制器的VHDL设计_第3页
EDA课程设计电梯控制器的VHDL设计_第4页
EDA课程设计电梯控制器的VHDL设计_第5页
已阅读5页,还剩25页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、EDA 学院:电气与信息工程学院学生姓名:指导教师:职称学位 高级实验师通信工程专班学业:级:号:通信 1602 班完成时间:2019 年 6月 15 日EDA 技术课程设计任务书学院:电气与信息工程学院16 级电子信息工程和通信工程学生姓名电梯控制器的VHDL设计一、设计内容设计智能电梯运行控制电路,用按键/开关与数码管/LED 表示输入与输出。二、主要任务:(2)运用EDA技术完成各模块的软件设计,运用所学的电路及电子技(3)完成全部流程:设计规范文档、模块设计、代码输入、功能仿一、拟达到的要求:较,然后选定较佳的设计方案。(2) 编写各模块VHDL布局合理。二、技术指标:(1)楼层4 层

2、;梯内显示当前楼层、梯外各层显示当前电梯所在楼层;电梯具备提前关门和延时关门功能,设置电梯运行开关控制键控制电梯运行状态(2)1 层只有向上按钮,4 层只有向下按钮,其余各层均有上下按钮;每层电梯入口均设有电梯上下运行请求开关,轿厢内设有楼层选择开关,供乘客自由选择电梯运行方向或所要到达的楼层。(3)电梯具有锁定,超重/超员报警(电路有空载、超重、乘客入梯指示输入)功能(梯内);能够正确显示电梯的运行情况、楼层间的运行时间以及电梯所在楼层的等待时间(4)电梯的运行遵循方向优先原则:当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上依次运行,直到最后一个请求执行完毕,如更高层有

3、下楼请则直接上升到有下楼请求的最高层,然后进入下降模式;当电梯处于下降模式时,运行规则与上升模式刚好相反。I1 林明权.VHDL 数字控制系统设计范例M.北京:电子工业出版社,20032 刘欲晓等.EDA技术与VHDL电路开发应用实践M.北京:电子工业出版社,20093 刘延飞等.基于ALTERA FPGA/CPLD的电子系统设计及工程实践M.北京:人民邮电出版社,20094 刘江海.EDA技术M. 武汉:华中科技大学出版社, 20135 艾明晶.EDA设计实验教程M. 北京:清华大学出版社,2014主 6 陈苏婷.EDA设计与应用基础M. 北京:气象出版社, 20157 范秋华.EDA技术及

4、实验教程M.北京:电子工业出版社, 20158 马玉清.EDA 技术(VHDL 版)M.合肥:中国科学技术大学出版9 李俊.EDA技术与VHDL编程M.北京:电子工业出版社.201210 陈炳权,曾庆立.EDA社,2013签名:摘 要用,过渡到在办公楼、居民楼等场所使用,并且对电梯功能的要求也不断提高,相应地其控制方式也在不停地发生变化。该设计是一个基于FPGAVHDL硬件描述语言使用EDA技术在Quartus II软件平台上设计完成的调试并且时序仿真通过后再下载到开发板上选用GWAC3-EP3CTC1443输出显示模块。请求信号输入模块采用状态机的方式来完成的。 以此来实现电梯控制器上升、下

5、降、停止、开门、关门、等待、以及故障的功能。该程序采用状态机来主控制,顶层采用原理图设计,底层采用VHDL设计,层,成功实现了课程设计的要求。关键字:电梯控制系统;Quartus II;VHDL;状态机目 录1 绪论.11.1 EDA介绍.11.2 课题背景.12 电梯控制器设计方案.22.1设计思想.22.2设计方案.33 电梯控制器的软件设计.43.1 VHDL源代码语法的简单说明.43.2 电梯控制器的VHDL描述模块流程.43.3 电梯控制器的流程图.54 电梯控制器的模块及仿真.64.1 控制模块.64.2 显示模块.74.3 顶层模块.84.4 顶层文件设计.105 下载测试.11

6、5.1 引脚锁定.115.2 下载测试结果.11结束语.15参考文献.17致 谢.18附录 程序清单.19IV1 绪论1.1 EDA介绍20 世纪 90 年代,国际上电子和计算机技术较先进的国家,一直在积极探索巨大成功。在电子技术设计领域,可编程逻辑器件(如 CPLD、FPGA)的应用,以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了 EDA 技术的迅速发展。EDA 技术就是以计算机为工具,设计者在EDA 软件平台上,用硬件描述语言VHDL 完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯

7、片的适配编译、逻辑映射和编程 技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。1.2 课题背景 技术,对电梯控制进行设计。现代电子设计技术的核心是 EDA EDA 技术开发的实现四层电梯自言是 EDA 技术的重要组成部分,VHDL 是作为电子设计主流硬件的描述语言。使用 VHDL 语言进行程序设计,在 QuartusII 软件上对程序进行编译、仿真。在QuartusII 平台上开发具有易学易懂、控制灵活方便、抗干扰能力强、运行稳定可靠等优点。12 电梯控制器设计方案2.1设计思想一次行程;每完成一次行程,检测是否所用用户呼叫均已响应完毕。如果没有,电梯继续运行,直到响

8、应完所有用户呼叫。否则,电梯停止运行;其中用户请求包括外部请求和内部请求。有外部升降请求信号需点亮相应的外部请求指示灯,层时,电梯停止运行,灭掉相应的呼叫显示灯,电梯开关门后,继续运行。们分别是“电梯停在一层”“开门”“关门”“开门等待第一秒”“开门等待第二秒”“开门等待第三秒”“开门等待第四秒”“上升”“下降”和“停止”。由于电梯每秒上升或下降一层,则可以用周期为 1s 的信号作为电梯状态转换的制。假设电梯所在楼层为 N,此时电梯如处于运行中,这时若楼层选择指示为 t且 tN 时按下了楼层选择确认键,或者按下上升按钮 up,则对应的上升请求寄存器赋值为 1,否则,若电梯运行到,且没有任何请求

9、,则对应的上升请求寄存器赋值为 0;反之,如果电梯如处于运行中,楼层选择指示为t且 tledoutledoutledoutledoutledout=0000000;END case;END PROCESS;END rtl;/*电梯主控制模快*/LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY lift ISPORT(clkin: IN STD_LOGIC;upin: IN STD_LOGIC;downin: IN STD_LOG

10、IC;st_ch: IN STD_LOGIC;close: IN STD_LOGIC;delay: IN STD_LOGIC;run_stop: IN STD_LOGIC;lamp: OUT STD_LOGIC;run_wait: OUT STD_LOGIC_VECTOR(3 DOWNTO 0);st_out: OUT STD_LOGIC_VECTOR (3 DOWNTO 0);direct: OUT STD_LOGIC_VECTOR (3 DOWNTO 0);END ENTITY lift;ARCHITECTURE rtl OF lift ISSIGNAL ur,dr:STD_LOGIC_V

11、ECTOR (4 DOWNTO 1);SIGNAL dir,liftor:STD_LOGIC_VECTOR (3 DOWNTO 0);SIGNAL wai_t:STD_LOGIC_VECTOR (2 DOWNTO 0);SIGNAL divide,hand:STD_LOGIC;SIGNAL ladd:STD_LOGIC_VECTOR (1 DOWNTO 0);SIGNAL closex,delayx:STD_LOGIC;BEGINdirect=dir+1;st_out=liftor+1;20run_wait=0 & wai_t;p0:PROCESS(clkin) ISBEGINIF (clki

12、nEVENT AND clkin=1)THENlamp=ladd(1);END IF;END PROCESS p0;hand=wai_t(2)AND (NOT wai_t(1) AND wai_t(0);closex=close AND (NOT ladd(1);delayx=delay AND (NOT ladd(1);p1: PROCESS(clkin)BEGINIF(clkinEVENT AND clkin=1)THENdivide=NOT divide;IF (dir=0011)THEN dir=0000;ELSE dirnum)AND(st_ch=1)OR(upin=1)THENCA

13、SE t ISWHEN 1 = ur(1) ur(2) ur(3) ur(4)NULL;END CASE;ELSIF(hand=1)THEN21CASE num ISWHEN 1 = ur(1) ur(2) ur(3) ur(4)NULL;END CASE;END IF;IF(tdr(1)dr(2)dr(3)dr(4)NULL;END CASE;ELSIF(hand=1)THENCASE num ISWHEN 1 = dr(1) dr(2) dr(3) dr(4)NULL;END CASE;END IF;ELSEur=0000;dr=0000;END IF;END PROCESS p2;p3:

14、PROCESS(ur,dr,liftor,ladd,wai_t,run_stop)BEGINIF (run_stop=1)THENIF (wai_t=110)THEN22IF (ur OR dr)=0000)THENladd(1)IF(ur(1) OR dr(1)0)THEN ladd(1)=0;ELSE laddIF(ur(2) OR dr(2)0)THEN ladd(1)00)OR(ur(1)OR dr(1)=0)THENladd=11;ELSE laddIF(ur(3) OR dr(3)0)THEN ladd(1)0)OR(ur(2 DOWNTO 1)OR dr(2 DOWNTO 1)=

15、00)THEN ladd=11;ELSE laddIF(ur(4)OR dr(4)0)THEN ladd(1)=0;ELSE laddNULL;END CASE;END IF;END IF;ELSE ladd=00;END IF;END PROCESS p3;p4:PROCESS(divide,wai_t,ladd,closex,delayx)BEGINIF(divideEVENT AND divide=1)THEN23IF (wai_t=000 OR closex=1)THENwai_t=110;ELSEIF(delayx=0) THENwai_t=wai_t-1;ELSEwai_t=010

16、 ;END IF;IF(wai_t=001)THENIF(ladd=11)THENliftor=liftor+1;ELSIF (ladd=10)THENliftor=liftor-1;END IF;END IF;END IF;END IF;END PROCESS p4;END rtl;/*电梯顶层模快*/LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY top ISPORT(clkin:IN STD_LOGIC;upin:IN STD_LOGIC;downin:IN STD_LOGIC

17、;st_ch:IN STD_LOGIC;close:IN STD_LOGIC;delay:IN STD_LOGIC;run_stop:IN STD_LOGIC;lamp:OUT STD_LOGIC;run_waitdis:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);24st_outdis:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);directdis:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);END top;ARCHITECTURE rtl OF top ISCOMPONENT led ISPORT(ledin:IN STD_

18、LOGIC_VECTOR(3 DOWNTO 0);ledout:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);END COMPONENT led;COMPONENT lift ISPORT(clkin:IN STD_LOGIC;upin:IN STD_LOGIC;downin:IN STD_LOGIC;st_ch:IN STD_LOGIC;close:IN STD_LOGIC;delay:IN STD_LOGIC;run_stop:IN STD_LOGIC;lamp:OUT STD_LOGIC;run_wait:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);st_out:OUT STD_LOGIC_VECTOR (3 DOWNTO

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论