半导体代工行业专题研究_第1页
半导体代工行业专题研究_第2页
半导体代工行业专题研究_第3页
半导体代工行业专题研究_第4页
半导体代工行业专题研究_第5页
已阅读5页,还剩7页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

半导体代工行业专题研究观点1:国产化转由系统厂商驱动,产能结构性紧张仍将持续代工行业走向区域化,中国大陆代工份额有望快速增长供应链安全驱动晶圆厂产能从集中走向分布。1980

年代初期,台积电首次开创代工模式,

大幅降低芯片设计厂商的资金门槛,以实现更有效的资源配置。此后伴随着个人电脑、智

能手机时代的繁荣,快速的升级迭代需求推动了“Fabless+Foundry”模式盛行,中国台湾

成为半导体制造中心,根据

ICInsights数据,2020

年中国台湾代工产能占据全球

57%。

虽然美国公司拥有

60%

以上的全球半导体芯片收入份额,但它们的实际产能份额的比重

1990

年的

37%

下降到

2020

年的仅

13%。随着苹果等手机链向中国大陆迁移,华

为、小米等国产品牌崛起,国内代工厂如中芯国际、华虹半导体等厂商在国内多地扩建晶

圆厂房;中美日益紧张的局势以及新冠疫情导致的供应链中断/芯片短缺,在各地政府支持

下,台积电等主要供应商在全球建立新的生产基地,代工行业进一步呈区域化分布趋势。SEMI预计

2021

年全球半导体代工行业资本开支将大幅提升

34%,达到

817

亿美元的历

史新高,韩国、中国台湾、中国大陆资本支出位列前三,美国、日本资本开支大幅提高。

从地区来看,2021

年前三大半导体设备支出地区分别是韩国、中国台湾、中国大陆,合计

超过全球

60%。随着台积电、三星,英特尔等在日美建厂,日、美资本开支大幅提高,台

积电在美国亚利桑那州建立

5nm晶圆厂;

英特尔在美国亚利桑那州钱德勒投资两个晶圆厂;

三星在美国德克萨斯州奥斯汀附近的新扩建项目。在美国以外,2021

10

14

日,台积

电于法说会正式宣布计划建立一个位于日本熊本的

22/28nm晶圆厂。在欧洲,台积电和英

特尔都在考虑建设新的能力以支持汽车/工业市场的未来增长。中国大陆,中芯国际、华虹

宣布了其积极的产能扩张计划。中国大陆和中国台湾在新晶圆厂建设方面处于领先地位。根据

SEMI预计

2021

年全球有

19

座新的高产量晶圆厂破土动工,2022

年预计会有

10

座。按地区分,2021-2022

年中国

大陆和中国台湾地区各有

8

个,其次是美洲有

6

个,欧洲/中东有

3

个,日本和韩国各有

2

个。2021

年和

2022

年,生产

12

英寸晶圆的代工厂将占大部分,SEMI预计

21

年有

15

开始动工,22

年将有

7

12

英寸晶圆厂开始建设。计划在两年内建造的其余

7

座将是

4

英寸、6

英寸和

8

英寸晶圆厂。这

29

座晶圆厂每月可生产多达

260

万片晶圆(8

英寸等效)。

我们预计

2023

年后随着产能陆续开出,中国大陆地区

2025

年在全球产能占比将达到

17%。2025

年中国大陆代工产能有望翻倍,能够支撑近两倍当前中国半导体产值过去几年,在美国对华为实施技术限制以及科创板带动创业潮等因素的催化下,半导体国

产化需求呈现明显加快趋势,中国晶圆代工厂中芯国际、华虹等结合自身情况积极扩产中。

从晶圆工厂的投建速度来看,根据

SEMI统计,自

2017

年以来,中国已建成

39

个半导体

晶圆厂。在这些工厂中,有

35

家为中国独资工厂,其余为外资独资工厂。中国大陆拥有世

界上进行中最多的半导体晶圆厂建设项目,目前有

57

个晶圆厂正在运营,有

26

个晶圆厂

正在建设或计划中,其中

12

英寸晶圆厂为

19

个,8

英寸有

7

个。随着产能快速扩充,

2016-2021

年中国代工行业产能实现了

113%的快速增长,在全球产能占比也从

2016

年的

3%上升到

2021

年的

12%。中芯宣布与深圳市政府签署合作框架协议,投资

153

亿元人民币建设一座重点生产

28nm及以上的圆晶工厂,目标月产

4

万片

12

英寸晶圆,计划

2022

年投入使用。而

2020

年投

500

亿元于北京成立的中芯京城项目公司预计将于

2024

年完工,目标月产

10

万片

12

英寸晶圆。1

4

日,中芯国际上海临港基地宣告正式启动建设,规划产能为

10

万片/月的

12

英寸晶圆。华润微无锡

8

英寸产线的募投项目正在建设;重庆

8

英寸产线升级改造项目

也将新增一部分产能;重庆

12

英寸产线我们预计在

2022

年可以贡献产能。华虹半导体无

锡一期则新增一条工艺等级

90-65/55nm、月产能达到

6.5

万片的

12

英寸特色工艺集成电

路芯片生产线。根据各公司公布的产能规划,我们测算到

2025

年,中国代工产能有望达到

349

万片/月,约是

2020

年产能的

2

倍,21-25

CAGR为

24.9%,全球产能占比将达到

17%。

假设中国代工厂产能均供给中国半导体设计公司,我们测算至

2025

年中国半导体产能理论

上可支撑的产值达到

768

亿美元,约为

2020

年的

1.8

倍(2020

年中国半导体销售额

550

亿美元)。科创板推动下芯片设计公司保持高增长中国半导体行业在科创板注册制下充分受益,设计公司快速增长。根据

Wind数据,自

2019

6

月科创板开板至

2022

1

23

日,CS半导体板块新增半导体上市公司

43

家,上市

公司数量实现翻倍(截至

2019

6

13

日,CS半导体板块公司数量为

37

家),其中在

科创板上市的半导体公司

35

家,占新增半导体板块公司总数

76.4%,总市值为

11211.9

亿

元(截至

2022

1

23

日),占

CS半导体板块

38.6%。细分来看,科创板中半导体行业

市值占所有企业的

20%,其中有

20

家为芯片设计公司,从数量上看设计公司占

CS半导体

板块新增半导体上市公司

46.4%。从收入来看,17-21

年半导体设计行业实现了

202%的快

速增长。从产品来看,中国集成电路产品的发展已经走过了"从无到有"的阶段,正行进在"从有到好”

和"从好到优"的大道上。经过多年的努力,特别是在核高基国家科技重大专项的支持下,中国

的集成电路产品体系不断丰富和完善,是全球较完整的芯片产品体系之一,不仅在中、低端

芯片领域具备较强的竞争力,在高端芯片领域也摆脱了全面依赖国外产品的被动局面。中国

TOP100

半导体公司中,产品涵盖了数字、模拟、数模混合、射频、功率、计算、存储、

接口等所有领域。即便在电子设计自动化工具(EDA)、知识产权核心(IP核)等领域也有了比

较好的积累,可以对设计企业发展提供必要的支撑。我们预计中国主要半导体设计公司

21-23

年收入增速

31%,拉动中国成熟制程代工需求。

以营收排名,我们统计了中国前十设计公司,2020

年收入合计超过

514

亿元,根据

Wind一致预期与华泰预测,我们测算其

2023

年收入合计

1152

亿元,CAGR为

30.9%,实现翻

倍增长。国内芯片设计公司大多专注于成熟制程产品。通过对国内

Fabless龙头企业的分

析,我们看到目前国内领先的

Fabless设计公司主要专注于

CIS、MCU、指纹传感器、NORFlash和低端应用处理器(AP)等产品,其中大多数都使用成熟工艺制造,在满足本土企

业代工需求的前提下,我们认为国内

12

寸成熟制程代工潜在需求强劲。2022

年国产化将进入系统厂商驱动阶段,电动车,云计算等领域快速增长产能紧缺下系统厂商成为推动中国半导体制造国产化的新动力。系统厂商在芯片产能的持

续紧张中处于牵一发而动全身的状态,在疫情、地缘政治和需求等多重因素综合影响下,

中国手机、汽车、家电和屏厂等整机公司在过去一年多的时间里增加备货,让芯片成为了

储备物资;同时,出于供应链安全考虑,系统厂商努力寻求本地化的芯片设计和制造。尤

其是在制造方面,即使是采用海外设计公司的芯片,他们也希望海外的公司能够有规划地

转向中国制造,这给国内集成电路的设计和制造业带来庞大的需求。以手机厂商和汽车厂商为例,2020

年中国手机厂商

HMOV贡献全球

27%的销售额,根据

IDC数据,2020

年全球手机半导体规模为

1368

亿美元,我们测算中国手机厂商在半导体

上的采购规模约为

369

亿美元。根据中国汽车协会数据,2021

年国内新能源汽车的销量高

352.1

万辆,新能源渗透率远超预期。同时,每一台新能源汽车需要一个

8

寸硅片。其

中分立器件

IGBT占

0.4

个,DMOS占

0.1

个,MCU、电源管理和高性能计算等需要

0.5

个。中国企业在全球有明显优势的领域如显示面板/安防/物联网、电动车(IGBT/MCU/电源管理)、

碳中和(功率)等领域国产化需求大幅上升,产能的结构性紧张情况仍将持续。经我们测

算,2020

年中国计算、手机、消费、汽车、通信等系统厂商半导体消费额超过

1068

亿美

元,约占全球半导体消费额的

25%,而中国代工产能到

2025

年仅为全球

17%,仍不能实

现全面制造国产化。观点2:生产环节战略价值重估,成熟工艺代工进入上升通道1

过去十年,IDM采取

Fab-Lite战略,半导体产能向中国台湾集中台积电首创“Fabless+Foundry”模式,IDM厂商一度承压。1987

年台积电成立标志着

纯晶圆代工模式的诞生,“Fabless+Foundry”模式降低了芯片行业的技术门槛和资本要求,

推动高通、博通、英伟达等一批优秀芯片设计厂商快速成长,同时也引领联电、世界先进、

中芯国际等一批企业进入晶圆代工领域。与此同时,受制于高昂的研发、建设、运营成本,

叠加淡季生产线空转造成的较大浪费,IDM厂商一度承压,毛利率与

ROE处于低位。IDM厂商转向

Fab-Lite模式,半导体产能向中国台湾集中。随着摩尔定律的发展逼近物理

极限,技术跃迁难度大、成本高,以及受“Fabless+Foundry”模式高盈利、灵活等优势启

发,IDM厂纷纷转向

Fab-Lite模式,将特殊制程外的标准型产品委外晶圆代工,进一步提

升运营效率。TI将逻辑和嵌入式

IC生产外包给联电等,英飞凌将标准型功率半导体外包世

界先进等,逻辑

IC外包台积电、联电等,瑞萨持续将

28nm及以下的成熟制程产品外包给

台积电等代工厂。过去十年,受益于

IDM外包比例的提高及高速发展的

Fabless公司代工

需求,台积电引领和驱动的代工行业蓬勃发展,全球半导体代工产能由美国、日本向中国

台湾集中。2

成熟工艺代工企业过去承接

IDM低端产品代工,ROE一直低迷承接

IDM低端产品,成熟工艺代工过去盈利水平差。成熟制程主要用来制造中小容量的存

储芯片、模拟芯片、MCU、电源管理(

PMIC)、模数混合、传感器、射频芯片等。过去,除

台积电和三星角逐先进制程代工外,中芯国际、联电、世界先进、华虹等晶圆代工厂商主

要以成熟制程代工为主,在

IC设计公司兴起前,承接

IDM厂商的非特殊工艺的、标准型的、

较为低端的产品,IDM企业议价能力较强,叠加受制于台积电激进的设备折旧制度,成熟

工艺代工盈利承压,ROE一度低迷,2020

年平均

ROE为

10.8%,远低于先进工艺代工厂

台积电的

ROE29.6%。过去成熟工艺投资动力不足,此轮缺货涨价重要性凸显。成熟工艺过去盈利水平较低,代

工厂商无动力增加投资,据

Omdia数据,2010

年至

2020

年期间全球

8

英寸晶圆代工厂产

能的年复合增长率仅为

3%。此轮缺货中

MCU、显示驱动

IC(DDIC)、PMIC及功率分立

器件等成熟工艺产品短缺十分严重,代工厂几近满载运行,而这些芯片的交期延长和价格

上涨已经对汽车、消费电子等下游客户的正常生产及终端出货产生了一定负面影响。3

后疫情时代,IDM重新加速资本开支,制造环节盈利水平有望提升受疫情期间缺芯、涨价驱动,IDM厂商重新加速资本开支。新冠疫情的爆发冲击了全球半

导体供应链体系,受市场供需格局失衡芯片短缺、IDM委外代工厂涨价等多重因素驱动,

2021

IDM厂商纷纷宣布建厂扩产计划,2021

11

17

日德州仪器于业绩会宣布已拟

定长期发展规划,将在德州兴建

12

寸新晶圆厂;2021

6

24

日意法半导体于业绩会宣

布在意大利米兰兴建

12

寸晶圆厂;英飞凌计划

2022

年增加

50%投资以应对全球半导体需

求的增长,此前已在德国和奥地利增设

12

寸晶圆厂;英特尔计划在美国亚利桑那州用约

200

亿美元新建两座晶圆厂。我们认为

IDM加大资本开支有助于产品供应与优化、提升制造环节盈利能力。以

TI为例,

随着新投资的晶圆厂

RFAB2

Lehi在

2022

年下半年和

2023

年初开始陆续投产,产能扩

张、生产结构优化。我们预计全球主要

IDM毛利率将从

20

年的

41.1%提升至

21-23

年的

52.7%/53.4%/53.2%,ROE水平进一步优化,由

20

年的

19.3%提升至

21-23

年的

26.4%/31.9%/33.8%。4

行业重心从数字转为模拟,成熟工艺代工进入上升通道成熟制程工艺需求端将保持稳定成长动能,碳中和进一步推动了工业设备、汽车工业的半

导体需求,新能源车等系统厂商推动电源管理芯片、MCU、驱动

IC、传感器等成熟制程芯

片需求持续向好,此外

AIoT也带来了更多的无线芯片和微控制器需求,行业重心将会从手

机、电脑和电视等过去以数字

IC为主的产品转向以模拟

IC需求为主的领域,这些包括电

源管理和

CIS在内的模拟芯片在未来带来庞大的机会。当前成熟制程市场依旧供应吃紧,定价权转到代工厂,成熟代工盈利水平改善。产能紧缺

叠加

IDM价格提升带动,晶圆代工价格不断攀升,台积电宣布将在

2021

12

月后将成熟

制程代工价上调

20%;力积电和世界先进先后宣布

2Q22

代工涨幅

10%;联电宣布

2022

1

月与

3

月单季双涨,主流

28nm制程将冲上

3,200

美元新高,联发科等客户陆续签订

长约,成熟制程的订单能见度持续乐观。随着

ASP明显提升,成熟工艺代工厂毛利率明显

改善,根据彭博一致预期,我们估算

2021

年成熟制程毛利率将提升至

33%,2022

年将持

续提升,预计超过

35%,达到历史高位。在庞大的市场需求下,主要代工企业重新进入“提价-

ROE提升-扩产”的再扩张阶段。2021

年,最紧缺的芯片集中在以

28nm制程为主的成熟制程芯片,这使得台积电罕见地在大陆

南京厂扩增

28nm制程生产线,2021

10

14

日,台积电于法说会正式宣布将在日本熊

本县投资

22/28nm制程晶圆厂。根据联电法人说明会,联电

2021

年的资本支出预计达到

23

亿美元,创下放弃先进制程研发以来最高纪录,联电也携手大客户签下长约,扩充在台

南科学园区的

12

英寸厂

Fab12A的

P5

P6

厂区产能。联电

P5

扩产的

1

万片产能公司预

计今年第二季度到位,P6

2.75

万片产能预计

2023

年第二季度陆续投产。此外中芯国际

在北京兴建了

28nm制程晶圆厂,还在上海、深圳建设新产线。成熟制程扩产周期需要

3~6

个月时间,2022、2023

年产能会持续提升。随着

2023

年成熟制程产能陆续开出,我们看

好成熟工艺代工厂产品结构持续优化,ASP持续提升。观点3:看好中芯国际及华虹发挥人才、工艺、客户资源优势我们认为代工企业的核心价值在于:人才、技术平台、客户资源以及有利的政策支持。在

利好政策的推动下,国内各地掀起半导体工厂建设高潮,监管部门为了避免行业陷入产能

过剩也出台了一系列指导性政策。在资金充裕的前提下,我们认为研发人才储备、完整的

技术平台、和客户资源,是代工企业最后能够胜出的三大核心竞争力。未来芯片代工领域

马太效应会愈加明显,作为大陆龙头代工厂的中芯国际及华虹半导体经过22年/17年发展,

在国内企业中拥有完整的技术平台和强大的客户基础,相信在未来几年中国代工行业大发

展中,有望保持并扩大各自擅长领域的领先优势。核心竞争力1:完整的工艺平台和广泛的客户基础中芯国际作为中国大陆最大、最先进的晶圆代工企业,拥有境内最完整的工艺平台及最先

进的技术节点。经过多年研发积累,公司不仅在逻辑芯片上不断追赶先进制程,纵向提升

制造技术的深度,同时积极研发非易失闪存、CMOS图像传感器和模拟/射频等工艺的通用

平台,横向拓展技术平台的广度。特殊工艺制程面向各个细分市场,有效地丰富了中芯国

际的客户群体以及下游应用领域,使得其产品和客户结构进一步分散化,并带来更多新的

订单来填充成熟制程的产能。华虹半导体是中国大陆第二大晶圆代工厂,聚焦特色工艺研发。华虹是国内领先的特色工

艺晶圆代工龙头,公司拥有为逻辑/射频、嵌入式非易失性存储器(NVM)、模拟/PMIC及

功率分立器件等应用打造的特色工艺平台。据

Trendforce数据,3Q21

按收入计,华虹是

全球第六大和中国第二大纯晶圆代工厂。2020

年在

8

英寸市场中,华虹以

7.6%的产能占

比排名全球第六。而上海华力微电子有限公司为上市公司体外、华虹集团体系内国有控股

公司,着眼先进制程工艺研发,其技术水平以

55nm为起点,并向下延伸至

28nm,

20nm/16nm等先进技术节点,可广泛用于智能设备、消费电子、汽车电子、物联网等产品。中国龙头代工厂与中国芯片设计产业共成长,中国的设计公司产品体系不断丰富和完善,

是全球较完整的芯片产品体系之一。不仅在中、低端芯片领域具备较强的竞争力,在高端芯

片领域也摆脱了全面依赖国外产品的被动局面。中国

TOP100

半导体公司中,数字、模拟、

数模混合、射频、功率、计算、存储、接口等所有领域有了比较好的积累。中芯国际及华

虹半导体等龙头代工厂陪伴中国集成电路产品的发展已经走过了"从无到有"的阶段,正行进

在"从有到好”和"从好到优"的大道上。在市场占有率方面,根据

ICInsights,2021

年,

中芯国际在中国区域客户纯晶圆代工市占率约

19%,仅次于台积电,华虹以

8%的市占率

位列第三。通过对国内

Fabless龙头企业的分析,我们看到目前国内领先的

Fabless设计公司主要专

注于

CIS、MCU、指纹传感器和

NORFlash等产品,其中大多数都使用成熟工艺,中芯国

际及华虹宏力凭借领先的市场定位和优越的地理位置,吸引着许多世界级的集成电路设计

公司。通过优质的产品和服务获得客户的信赖,并帮助客户缩短产品上市时间,同时为成

熟工艺和先进工艺提供增值创新。核心竞争力2:人才和研发投入中国的要素优势正从“人口红利”转向“工程师红利”,在半导体制造领域中国拥有大量的

人才积累,同时相比海外更加低价,这一比较优势将成为推动中国晶圆代工产业发展的重

要因素。从人才的横向对比来看,2020

年中芯国际研发工程师分别达

2335,在中国大陆

主要晶圆代工厂及

IDM厂商中居前。中芯国际已于

2021

7

月公布了首次大规模股权激

励计划,将对包括公司核心技术人员在内的

3,944

人实施占比

0.85%的股权激励,为其工

艺平台发展提供人才保障。从研发投入对比来看,中芯国际研发费用率为

21%,处于绝对

领先。核心竞争力3:地方政府加强指导建厂,龙头效应明显近年来,国内频频出现晶圆代工项目烂尾的案例,地方政府收紧建厂批文。针对个别地方

集成电路低水平重复建设风险显现的情况,发改委明确将按照“主体集中、区域集聚”的

发展原则,引导地方政府加强对重大项目建设的风险认识,对造成重大损失或引发重大风

险的,予以通报问责。各地方政府普遍建立了对于新设晶圆代工产能的审批机制,代工企

业需取得批文方可在该地新建产能,同时对某一地区的产能建设也存在上限设定。该政策

倾向将会进一步限制落后产能新厂建设,利于国内头部晶圆代工厂进一步集中市场份额。在大基金及地方政府的协助下,中芯国际及华虹半导体产能快速扩张。中芯国际现拥有五

8

寸晶圆厂和三座

12

寸晶圆厂,以及一座

8

寸及三座

12

寸晶圆厂在建。2021

年计划的

资本开支约为人民币

281

亿元,其中大部分用于成熟工艺的扩产,中芯国际

3Q21

总产能

扩大至

59.4

万片/月(等效

8

英寸寸产能)。公司还确认了积极的

12

英寸产能扩张计划:1)

深圳工厂

4

万片/月,2)北京京城项目

10

万片/月,3)上海临港项目

10

万片/月。同样的,

在无锡市政府的支持下,华虹宏力和大基金、无锡锡虹联芯投资有限公司等合资设立了华

虹无锡,一期项目建设一座月产能

4

万片的

12

英寸晶圆厂(华虹七厂),于

2019

年正式落

成并迈入生产运营期,成为全球第一条

12

英寸功率器件代工生产线,现处于产能快速爬坡

阶段。代工板块

2021

涨幅跑输半导体行业,2022

年有望迎来估值修复2021

年代工板块行情落后半导体其他板块,目前处于估值底部全球半导体方面,费城半导体指数

2021

全年上涨

41.2%,于年中不断刷新历史新高,12

31

日收

3946.17

点。分板块来看,受益于后疫情时代涌现的新需求推动,轻资产的计算

芯片、无线通讯板块领涨,2021

年涨幅分别达

72.1%、40.9%。在涨价缺货中价格传导顺

利的模拟板块涨幅也达

38.9%,作为本轮缺货潮中受益最多的半导体设备板块涨幅高达

59.5%;但作为设备板块高涨行情的买单者,代工板块在本轮行情中处于落后地位,全球

来看

2021

年初至今板块涨幅仅为

20.5%,A&H股板块下跌

4.7%。个股表现也体现出相同的特征。2021

年初以来,台积电美股股价上涨

21.3%,显著跑输主

要成分为美国大型芯片设计公司的

SOXX35.4%的涨幅;同期,中芯国际(H股)及华虹

半导体股价分别下跌

16%/2%,明显跑输

A股设计公司(港股半导体设计公司样本不全,

采用

A股设计公司比较),我们认为代工厂作为半导体产业链的重要中枢,在

2022

年业绩

有望保持强劲的情况下,估值存在修复空间。台积电

4Q21

业绩再次冲高,看好

2022

年代工行业基本面上行从

11

月主要晶圆代工厂的经营数字来看,台积电/联电/世界先进单月收入分别同比增长

18.7%/33.5%/52.2%,环比增长

10.2%/2.6%/16.0%,其中联电及世界先进单月营收同比增

长强劲,创历史新高。1

13

日台积电公布

4Q21

业绩:营收

157.4

亿美元,环比+5.8%,

同比+24.1%,超出公司先前

154-157

亿美元指引。毛利率为

52.7%,环比上升

1.4pct,高于此前指引的中位数(52.0%)及彭博一致预期(52.2%)。ASP4Q环比上升

3.5%到

4,224

美元(等效

12

寸)。同时在业绩会上台积电上调

2022

年及远期指引,彰显其对代工行业景

气度延续的信心。台积电预计代工产能紧张将延续

2022

全年,同时预测

2022

年全球半导体

行业(除存储器)增速将达到

9%,2022

年全球代工行业增速将接近

20%,看好

2

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论