2017年4月电子电路EDA技术参考答案_第1页
2017年4月电子电路EDA技术参考答案_第2页
2017年4月电子电路EDA技术参考答案_第3页
2017年4月电子电路EDA技术参考答案_第4页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

2017.4电子电路EDA一、单项选择题1.B2.A3.B4.B5・A6.C7.A&A9.C10.A11.D12.C13.C14.B15.D二、 名词解释题专有集成电路寄存器传输级片上可编程系统三、 判断改错题正确错误把功能仿真改为时序仿真。正确22错误把片外改为片上四、 简答题状态机模式:可以无外设、无总线结构和无实时操作系统,达到最低的成本,应用于VGA和LCD控制等,达到可髙或可低的性能。单片机模式:包括一泄的外设,可以利用实时操作系统和总线结构,以中等的成本,应用于控制和仪表,达到中等的性能。泄制嵌入模式:高度集成扩充的外设,实时操作系统和总线结构,达到髙性能,应用于网络和无线通信等。阻塞赋值“可以看作一步进程:当没有英它可以打断赋值的描述时,估计等式右边的值并赋予左边。其完成后,才进行下一条语句的执行“非阻塞赋值”非阻塞赋值在赋值开始时计算表达式右边的值,到了本次仿真周期结朿时才更新被赋值变呈:,且也许其它语句的同时赋值。不影响其赋值结果非阻塞赋值为寄存器数据类型而设,所以只能被允许在程序块里面岀现,比如initial块和always块。不允许持续性赋知识产权IP核有三种不同的存在形式:HDL语言形式,网表形式、版图形式。分别对应我们常说的三类IP内核:软核、固核和硬核。传统的设计方法都是自底向上的;EDA设计方法是自顶向下。不同点在于:(1) 传统设计方法采用的是手动设计,而EDA设计方法是自动设计:(2) 传统设讣方法硬、软件分离,而EDA设计方法打破了硬、软件屏障;(3) 传统设讣方法设讣周期长,而EDA设计方法设讣周期短。27•答:功能仿真是直接对HDL语言、原理图描述或其它描述形式描述的逻借功能进行测试模拟,以了解其实现的功能是否满足原设计的要求。它是由设讣输入的行为级或RTL级代码、测试数据参与的测试程序以及调用模块的行为仿真模型共同参与完成逻辑功能的验证。功能仿真没有延时信息,仿真过程不涉及任何具体器件的硬件特性。时序仿貞•是在选择了器件之后,由适配器完成布局、布线并得到HDL网表和标准延时文件,以及FPGA基本单元仿真模型和测试程序,它们共同参与时序仿真。时序仿真包含了器件的硬件特性参数和内部连线时延的仿真,是接近真实器件运行特性的仿真,因而仿真精度高。五、程序分析题28.(1)data_in3»endtask»1*bOt1,bl30.//子模块moduleDflop(d,rset,elk,q);inputd,reset,elk;outputq;regQ;always@(posedgeelk)beginif(reset==l)q<=0;elseq<=d;endendmodule顶层模块程序设计Module(xin,elk,yout);Inputelk;Inputxin;Outputyout;RegyoutWiretempi,temp2,temp3;Assigntemp2<=templorxin;Dflopul(ck,yout,tempi);Dflopu2(ck,temp2,yout);endmodule31.Modulefsm(elk,reset,in_a,flag_out);Inputelk,reset;Inputin_a;Outputflag_out;Regflag_out;regc_state;parameterst0=2‘dO,st1=2*dl;st2二2’d2;always©(posedgeelk)beginifreste==lc_state<=stO;flag_out<=lbO;endelsebegincase(c_state)stO:if(in_a==rbO)beginc_stat<=stO;flag_out<=lbO;endelsebeginc_stat<=stl;flag_out<=l*bO;end;stl:if(in_a==l*bO)beginc_stat<=stO;flag_out<=lfbO;endelsebeginc_stat<=st2;flag_out<=l?bO;end;st2:if(in.a==rbO)

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论