2023中国半导体投资深度分析与展望报告-云岫资本-2023-06-宏观大势_第1页
2023中国半导体投资深度分析与展望报告-云岫资本-2023-06-宏观大势_第2页
2023中国半导体投资深度分析与展望报告-云岫资本-2023-06-宏观大势_第3页
2023中国半导体投资深度分析与展望报告-云岫资本-2023-06-宏观大势_第4页
2023中国半导体投资深度分析与展望报告-云岫资本-2023-06-宏观大势_第5页
已阅读5页,还剩136页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

2023中国半导体投资深度分析与展望云岫资本半导体行业组半导体上市公司业绩喜忧参半,AI需求将引领新一轮半导体周期半导体上市公司2022年营收增速分布半导体上市公司2022年归母净利润增速分布半导体细分领域上市公司1市值走势12022/1/12022/4/1材料封测资本数据来源:集微网、Wind(数据截止到2023/5/19)、云岫资本整理资本1:样本为185家2022/1/1前上市的A股半导体公司,市值做归一化处理,并将2022/1/1作为基期3限制性条款25%税收优惠(2022-2027)CHIPSfunds对半导体制造建厂、设备购买采取25%税收优惠527亿美金•接受补贴的公司必须与美国政府签署协议,这些公司不能在中国以及其他美国关切地区扩产先进芯片的产能•对于已经规划的扩产计划,接受补贴的公司必须向美国商务部报备,美国商务部有权去裁定扩产是否违反协议,如果违反限制性条款25%税收优惠(2022-2027)CHIPSfunds对半导体制造建厂、设备购买采取25%税收优惠527亿美金•接受补贴的公司必须与美国政府签署协议,这些公司不能在中国以及其他美国关切地区扩产先进芯片的产能•对于已经规划的扩产计划,接受补贴的公司必须向美国商务部报备,美国商务部有权去裁定扩产是否违反协议,如果违反,相关公司可以选择停产,或者选择向美国政府退回补贴推行ORAN,对抗华为CHIPSforAmericaFundCHIPSforAmericaDefenseFundCHIPSforAmericaWorkforceandEducationFund有美国产品或美国人参与以下相关活动会受到管制对于上游使用到美国技术生产的产品,在以下情形下,会受到直接管制实体清单FDPR:只要最终销往实体清单28家中国企业的产品先进计算FDPR:只要搭载了技术规格在ECCN范围内的产品;被用于中国公司的任何海外子公司开发mask、die、wafer技术的产品超算最终用户或用途FDPR:只要最终销往中国超算最终用户或用途的产品(开发、生先进制造相关的活动:帮助制造16nm14nm以下的数字芯片;帮助制造半间距不超过18nm的DRAM芯片;帮助制造层数超过128层的NANDFlash;为任何在中国境内有助于半导体生产设施开发以及一系列和半导体制造生产相关的活动最终用途是中国先进计算和超算:只要最终是中国超算用途;可以被用在中国半导体流片的高端芯片;可以被用在中国半导体流片制造的一系列限制性生产设备和零部件15亿美金2022年10月7日,美国商务部工业安全局(BIS)发布半导体出口管制新规2022年8月9日,美国总统拜登签署了《芯片与科学法案》4项新增ECCN编码产品对中国出口/再出口/境内转移时,需要向BIS申请许可证ECCN3A090:I/O双向传输速率≥600GBytes/s且计算位宽xTOPs≥PublicWirelessSupplyPublicWirelessSupplyChainInnovationFundCHIPSforAmericaCHIPSforAmericaInternationalTechnologySecurityandInnovationFund20亿美金500亿美金220亿美金500亿美金2亿美金ECCN4A090:体积≤41,600ft3且双精度计算能力(64位≥100petaFLOPs或单精度计算能力(32位)≥200petaFLOPs的任何产品ECCN3B090:通过电镀工艺沉积钴的设备;能够使用自下而上填充工艺在填充金属中沉积具有最大尺寸≤3nm的空隙/接缝的钴或钨填充金属的CVD设备等一系列与先进工艺有关的半导体制造设备ECCN4D090:开发生产前述计算机、电子组件或元件的专用软件数据来源:《芯片与科学法案》、《半导体出口管制新规》、云岫资本整理42019-2022年中国半导体行业投融资事件数量及规模智能手机及新能源汽车销量增速00数据来源:企名片、IDC、Counterpoint、TrendForce、中国汽车工业协会、国际能源署、AutoForecastSolutions、云岫资本整理5AI硬件基础设施6AI硬件基础设施篇7练试态理ChatGPT提供变革性的用户体验,用户数量飙升ChatGPT模型训练算力需求每3-4个月便可翻番算力平台:合理分配算力资源,提升效率核心芯片:练试态理ChatGPT提供变革性的用户体验,用户数量飙升ChatGPT模型训练算力需求每3-4个月便可翻番算力平台:合理分配算力资源,提升效率核心芯片:决定算力设备性能ChatGPT爆发开启AI算力军备竞赛,数据中心硬件迎来爆炸式增长需求破亿破百万6.72亿ChatGPT发布仅5天后用户量破百万,2个月破亿,访问量从1830万增长到6.72亿,成为史上用户增长速度最快的消费级应用数据中心硬件是大模型厂商军备竞赛的核心数据中心硬件是大模型厂商军备竞赛的核心模型模型算力设备:提供底层动力源泉服务器交换机光模块82019~20202022~20252025~金融|电信|电力石油|交流|航空航天教育|医疗汽车|物流|建筑2013~201828N基础软件信息安全关键信息基础设施大多依赖海外,催生信创ICT市场需求,基础硬件是重中之重•中国CPU市场:信创产业“2019~20202022~20252025~金融|电信|电力石油|交流|航空航天教育|医疗汽车|物流|建筑2013~201828N基础软件信息安全关键信息基础设施大多依赖海外,催生信创ICT市场需求,基础硬件是重中之重•中国CPU市场:信创产业“2+8+N”驱动,国产CPU份额仍不足10%。基础硬件依旧是信创招投标的重中之重,•2020年党政单位率先启动IT基础软硬件国产替代,八大关键行业亦紧随其后。2019年工信部要求全国党政行业从底层服务器到中间件、操作系统、数据库、终端等进行全面国产替换,目标2020年、2021年分别实现30%和50%的国产替代,并在2022年实现全面国产替代。•当前党政市级以上公文系统的信创改造已进入收尾阶段,接下来有望纵向下沉至区县乡镇,横向拓展至电子政务系统改造。在党政部门的引领下,金融、电信、电力、交通等八大重点行业也开始加快自主可控步伐。在行业信创中,金融行业推进最快,根据央行《金融科技发展规划(流等N个行业预计将在2023年开始发力。我国信创“2+8+N”发展过程基础硬件:CPU|服务基础软件:数据库|操作系统|中间件等应用软件:终端安全产品等招投标市场各部分市场份额占比基础硬件应用硬件基础硬件和应用软件占绝大部分招投标份额992020年集采海光、鲲鹏处理器合计49,691台,国产服务器占比40.03%2021-2022年集采采购89,674台,国产占比41.65%两大国产CPU芯片厂商营收飞速增长(亿)国产存储厂商国内产能市占率仅达15%交换机芯片仍由海外厂商占据绝对主导关键技术领域大国博弈,信创为我国IT产业发展的长久之计2021-2022年集采采购鲲53,4012020年集采海光、鲲鹏处理器合计49,691台,国产服务器占比40.03%2021-2022年集采采购89,674台,国产占比41.65%两大国产CPU芯片厂商营收飞速增长(亿)国产存储厂商国内产能市占率仅达15%交换机芯片仍由海外厂商占据绝对主导关键技术领域大国博弈,信创为我国IT产业发展的长久之计2021-2022年集采采购鲲53,401台,国产占比26.7%GPU国内GPU市场广大,但国产化率仍不到10%国内市场规模(亿元)2006200720082009201020112012201320142015201620172018201920202021核高基 国家集成电路产 业发推进纲要 体系中央及各地方政府每年出台信创相关政策(数量)4532211133221112006年我国开始在信创产业摸索前行,2019年我国正式提出发展信创产业,信创相关政策开始密集出台数据来源:零壹智库、Wind、DRAMexchange、智研咨询、云岫资本整理基础硬件自主可控为首要目标,核基础硬件自主可控为首要目标,核心芯片亟需国产领军企业CPUCPU存储存储0.7美元/hARM架构服务器替代X86已成为全球云服务厂家的标准配置ARM架构服务器市占率加速提升以AWSGraviton2为例,Intelx86每小时云服务成本要比基于ARM服务器的云服务成本高近40%20192020目前数据0.7美元/hARM架构服务器替代X86已成为全球云服务厂家的标准配置ARM架构服务器市占率加速提升以AWSGraviton2为例,Intelx86每小时云服务成本要比基于ARM服务器的云服务成本高近40%20192020目前数据中心服务器仍然亿X86为主,随着AWS、华为和Ampere等厂商的快速成长,ARMCPU在数据中心的市场份额正在加速提升,预计2025年ARMCPU在数据中心占比将达22%0.5美元/h“磐玉”蜂巢ARM服务器发布云游戏部署上千台ARM服务器发布基于Ampere的云实例华为云已部署14万台左右鲲鹏服务器UCLOUD优刻得发布基于Ampere的安卓云游戏平台国外云服务厂商已部署超过20%的ARM服务器已经在Azure上进行大规模部署Google宣布将大规模部署ARM服务器将ARM服务器部署于Oracle云,已投资Ampere已于2021开始部署ARM服务器高性价比推动ARM服务器加速替代X86云服务厂家积极布局ARM架构服务器,国内云服务厂商国内云服务厂商数据来源:Omdia,Trendforce,云岫资本整理ARMARM架构服务器较X86有明显性价比优势趋势价值社会价值PC/数据中心/边缘计算siFe•RISC-V基金会预测,到2025年采用RISC-V架构的处理器将突破800亿颗;•在IoT领域,2025年RISC-V的市场占有率将达到28%;•在RISC-V最有机会发力的AI和机器学习领域,RISC-V的市场复合增长率将超过趋势价值社会价值PC/数据中心/边缘计算siFe•RISC-V基金会预测,到2025年采用RISC-V架构的处理器将突破800亿颗;•在IoT领域,2025年RISC-V的市场占有率将达到28%;•在RISC-V最有机会发力的AI和机器学习领域,RISC-V的市场复合增长率将超过70%,2027年左右将会有250亿设备在生态中。采用RISC-V架构的处理器数量(亿颗)800+700%RISC-V兼具三大价值,未来十年发展潜力巨大技术价值•全球共建开放标准,集聚中外开发者、高校科研院所,具有巨大的加速度和势能精简高能效•精简度远超ARM和X86,指令集冗余少,可以做到更高的能效比开放标准,全球共建•RISC-V无地缘政治风险,是实现中国计算体系长期自主的最佳选择安全可靠专用加速单元•模块化设计,拓展性和兼容性强•RISC-V国际协会主导,具有全球认知度和认知性,全球技术输出,共建生态•自主设计处理器内核•开源架构透明公开数据来源:网络公开资料,与非网eefocus,电子设计中心,各公司官网,云岫资本测算及整理RISC-V兼具技术、趋势、社会三大价值,联合Chiplet打造数据中心计算新方案完美契合完美契合Chiplet,带来迭代速度和灵活度飞跃计算效能制造成本计算效能研发成本$提升良率快速迭代$提升良率快速迭代Chiplet传统通用芯片全新架构芯片不同应用的计算不同应用的计算针对新增需求的芯片迭代速度20222025 交换芯片由海外厂商绝对主导,国产率不足2%工业市场和云服务商市场,交换芯片是交换机的核心部件之一,负责交换机底层数据包•国内交换机系统前三均为国内厂商,海外交换机龙头Cisco在国内的市场份额持续下滑,2021年市占率不到5%,我国在交换机整机环节已基本实现国产替代•中国商用以太网交换芯片总体市场2020年达到90亿元,预计至2025年将达到171亿元,•云服务商市场为交换机最大下游市场,2021年三大运营商云业务收入达684亿,年增速超100%,运营商交换芯片国产化需求远高于运营商云带动国产交换芯片需求,国产中高端交换芯片亟待补足国内交换机市场已基本实现国产替代运营商云业务增长超100%,国内交换机市场已基本实现国产替代加速基础器件国产化务数据来源:IDC、盛科招股书,云岫资本整理商用以太网交换芯片市场中CR3厂商均为境•国产交换芯片尚落后海外2~3代,国内市场要海外厂商产品交换容量均已迭代至盛科量产产品最高交换容量仅为2.4Tbps,ChatGPT高算力需求推动高速光芯片和电芯片快速发展•光芯片与电芯片是光模块最核心芯片,两者占光模块价值量近70%•光芯片可分为激光器芯片和探测器芯片,其中激光器芯片主要用于发射信号,将电信号转化为光信号,探测器芯片主要用于接收信号,将光信号转化为电信号。激光器芯片按出光结构可进一步分为面发射芯片和边发射芯片,面发射芯片包括VCSEL芯片,边发射芯片包括FP、DFB和EML•电芯片是光通信系统中负责电信号处理的芯片,主要作用为驱动光信号、提升光信号效能、电信号处理等。电芯片主要包括激光器的驱动芯片LDDriver、时钟恢复芯片CDR、跨阻抗放大器芯片控制TEC芯片等•2021年25G光芯片的国产化率约20%,25G以上光芯片的国产化率约5%,仍以海外光芯片厂商为主•电芯片国产化率低于光芯片,国内只有少数供应商涉足25G及以下速率的电芯片产品,25G以上基本无国内玩家。其中DSP难度最高,国产几乎为0,其余电芯片国产化率在5%左右。随着光模块速率不断提升,电芯片在总体成本中所占的比重将明显提升•25G以上速率光芯片整体市场空间将从13.56亿美元增长至43.40亿美元;新一代50G网络标准的每个模块里需配置一颗DSP芯片对衰减的信号进行处理,2024年电芯片市场将达到20亿美元,ChatGPT的火爆将带动国内高速光电芯片厂商加速成长数据来源:Lightcounting,头豹研究、源杰招股书、太平洋证券、云岫资本高速光模块光芯片保持高增长2024年全球电芯片近20亿美元+171%4+171%4202020212022E2023F2024F2025FBroadcom数据来源:赛迪顾问,民生证券研究院、头豹研究院、云岫资本整理百度昆仑沐曦科技CPU鲲云科技天数智芯墨芯人工智能登临科技亿铸科技壁仞科技长瑞光电光梓信息橙科微电子敏芯半导体亿芯源米芯科技傲科光电纵慧芯光数据中心相关标的HVGON燿知电子鸿均微电子赛昉科技进迭时空飞腾信息算能科技云间半导体遇贤微电子龙芯中科数渡信息科技希姆计算瀚博半导体华为海思ESWIN燧原科技百度昆仑沐曦科技CPU鲲云科技天数智芯墨芯人工智能登临科技亿铸科技壁仞科技长瑞光电光梓信息橙科微电子敏芯半导体亿芯源米芯科技傲科光电纵慧芯光数据中心相关标的HVGON燿知电子鸿均微电子赛昉科技进迭时空飞腾信息算能科技云间半导体遇贤微电子龙芯中科数渡信息科技希姆计算瀚博半导体华为海思ESWIN燧原科技GPGPUGPGPU/AI加速芯片光电芯片光电芯片云合智网镕铭微电子楠菲微电子篆芯半导体晟芯网络盛科网络格兰菲航锦科技速显微锐信视图摩尔线程芯动科技芯瞳半导体景嘉微绘智微电子砺算科技数据中心相关标的中科海网星云智联云豹智能益思芯科技云脉芯联矩向科技矩向科技深存科技青芯半导体云合智网镕铭微电子楠菲微电子篆芯半导体晟芯网络盛科网络格兰菲航锦科技速显微锐信视图摩尔线程芯动科技芯瞳半导体景嘉微绘智微电子砺算科技数据中心相关标的中科海网星云智联云豹智能益思芯科技云脉芯联矩向科技矩向科技深存科技青芯半导体安路科技高云半导体复旦微电子异格技术 GPU的制程设备ASML、ASM、Axcelis单季度收入增速领先Research的制程设备ASML、ASM、Axcelis单季度收入增速领先Research、Onto单季度收入增速由正转负,而KLA、DNS单季度收入增速也显著放缓2022Q42023Q1位AMAT亿美元67.397.5%60-68-4%~+9%ASML亿欧元64.329.0%67.4691%TEL亿日元4,678-7.6%5,194-8%LAM亿美元52.824.9%38.7-5%亿美元29.8426.8%24.33SCREEN亿日元ASM亿欧元7.2547.7%37%Onto亿美元2.532-17%亿美元2.66129.4%季度收入延续大幅负第二季度销售额环比将大幅回升,同比增速回升至个位数负增长,但单季度新接订单仍处于底部入同比增长73%,而22Q4增速是49%•22Q4国内半导体设备上市公司的单季度收入创历史新高,达到100亿元以上国际半导体设备:23Q1季度业绩分化,收入增速显著放缓国内半导体设备:销售额增速保持在50%以上,备货积极半导体设备:23Q1国际设备厂商业绩分化,中国设备厂商逆势上行2022Q42023Q1货币单位亿欧元-19.8%-34%亿美元-61.8%-56%ASMPacific亿美元5.531-30.7%5DISCO亿日元2.6%-11%2022Q42023Q12023Q1厂商(部分)拓荆科技7.1486%86%4.024.02274%华海清科5.1597%97%6.166.1677%盛美上海8.958.9568%6.1674%4.884.8873%2.8857%64%北方华创北方华创46.7633%38.7181%广立微广立微0.2259%0.9030%0.38制程设备合计92.3649%70.1773%73%厂商(部2022Q12022Q22022Q2022Q32022Q2022Q42023Q1•尽管美国•尽管美国2022年10月7日颁布半导体出口管制升级新规落地,但多家国内半导体设备厂商的合同负债数据在2022年第四季度呈现上行趋势•其中增幅较大的分别为:拓荆科技、华海清科、盛美上海、芯源微、中微公司拓荆科技7.807.809.229.22华海清科8.368.36盛美上海盛美上海4.434.433.983.986.628.229.444.436.286.045.855.2021.9523.2023.20北方华创50.9056.7865.1271.9878.2278.224.2%%2022年•国产设备厂商在刻蚀、沉积、清洗、CMP以及测试机、分选4.2%%2022年•国产设备厂商在刻蚀、沉积、清洗、CMP以及测试机、分选机、探针台等核心工艺环节已取得长足进步,且与海外传统厂商形成初步的技术对标。•但在涂胶显影、离子注入以及光刻机领域差距仍显著,自主可控任重道远。•根据SEMI数据,中国大陆市场占比同步提升,2022年已达到26.2%2019年薄膜沉积设备497474上海微电子等刻蚀设备474量/检测设备249涂胶显影设备CMP设备华海清科等华海清科等热处理设备长硅片生长过程是将硅材料加工成硅片过程检测贯穿整个过程贯穿整个过程过程检测设备CMP金属化设备涂胶显影设备CMP设备涂胶显影设备沉积设备去胶设备刻蚀机沉积设备功能实现掩膜制作多次循环堆积电路层实现特定功能晶圆制造过程,光刻、刻蚀、薄膜沉积为核心工艺;上述操作需重复成百上千次才能形成有电路结构的硅片切筋成型封涂光刻胶研磨研磨机倒角硅片抛光机拉单晶倒角机切片机探针台切筋成型设备•前道是指晶圆制造厂的加工过程,在空白的硅片完成电路的加工,出厂产品依然是完整的圆形硅片•后道是指封装和测试的过程,在封测厂中将圆形的硅片切割成单独的芯片颗粒,完成外壳的封装,最后完成终封测过程是将晶圆切后封装、测试,最后得到半导体产品长硅片生长过程是将硅材料加工成硅片过程检测贯穿整个过程贯穿整个过程过程检测设备CMP金属化设备涂胶显影设备CMP设备涂胶显影设备沉积设备去胶设备刻蚀机沉积设备功能实现掩膜制作多次循环堆积电路层实现特定功能晶圆制造过程,光刻、刻蚀、薄膜沉积为核心工艺;上述操作需重复成百上千次才能形成有电路结构的硅片切筋成型封涂光刻胶研磨研磨机倒角硅片抛光机拉单晶倒角机切片机探针台切筋成型设备•前道是指晶圆制造厂的加工过程,在空白的硅片完成电路的加工,出厂产品依然是完整的圆形硅片•后道是指封装和测试的过程,在封测厂中将圆形的硅片切割成单独的芯片颗粒,完成外壳的封装,最后完成终封测过程是将晶圆切后封装、测试,最后得到半导体产品热处理设备热处理设备SiCbufferSiC异质外延GaNbufferSiC 碳化硅晶棒碳化硅粉碳化硅晶锭碳化硅晶片碳化硅衬底三代半需求高涨,衬底设备与外延设备迎来投资风口47%23%衬底衬底原料合成碳化硅粉料合成设备•首先,要将纯度高的的硅粉和碳粉按碳化硅粉料合成设备•首先,要将纯度高的的硅粉和碳粉按•其次,在2000℃以上的高温条件下于反应腔室内进行反应,合成特定晶型和颗粒度的碳化硅颗粒;•最后,经过破碎、筛分、清洗后即可制得高纯度碳化硅粉原料,用以满足晶体生长要求。•该环节主流技术为PVT•该环节主流技术为PVT(物理气相传输),由于温度很高、不可实施监控,因此该环节的难点是工艺本身而不是•各家衬底厂的设备也许相同但工艺均单晶生长炉•切片机:碳化硅的切割和传统硅的切割方式相似,但因为碳化硅属于硬质材料,切割难度非常大,切一刀可能需几百个小时,对系统设备的稳定性很高,国内设备进展较慢•切片机:碳化硅的切割和传统硅的切割方式相似,但因为碳化硅属于硬质材料,切割难度非常大,切一刀可能需几百个小时,对系统设备的稳定性很高,国内设备进展较慢。•研磨、抛光、SMT设备:和传统硅机台基本类似,主要差别在于研磨盘和研磨液。金刚石多线切割机研磨机抛光机•外延可满足不同应用领域对器件参数要求。外延质量的好坏跟设备和工艺强相关,会影响SiC器件的性能。•目前SiC衬底上常见外延有SiC同质外延和GaN异质外延,前者用于功率器件,后者用于射频器件。备碳化硅长晶炉半导体级长晶炉规格8-12英寸可应用制程工艺应用领域90nm以上备碳化硅长晶炉半导体级长晶炉规格8-12英寸可应用制程工艺应用领域90nm以上P型导电型碳化硅衬底•生长工艺:在高温区(>2000℃)将SiC粉末升华并将SiC气体沿着温度梯度输送,在较冷的尾部SiC籽晶凝聚为晶体数据来源:广发证券、晶升股份招股说明书、公开资料、云岫资本整理 高纯硅粉原料合成碳化硅粉晶体生长碳化硅晶锭晶锭加工碳化硅晶体研光碳化硅衬底•物理气相传输(PVT)是目前国际主流大规模应用的晶体生长方法,具有技术方案成熟、生长过程简单设备成本低等特点刻蚀工艺是形成立体结构的核心设备,其中干法刻蚀是主流介质刻蚀机43%2金属刻蚀机硅刻蚀机42%%干法刻蚀约占90%刻蚀设备市场份额占比刻蚀工艺是形成立体结构的核心设备,其中干法刻蚀是主流介质刻蚀机43%2金属刻蚀机硅刻蚀机42%%干法刻蚀约占90%刻蚀设备市场份额占比本较低北方华创2%应用材料46%东京电子29%刻蚀设备市场竞争格局全球刻蚀设备市场被美日厂商垄断,刻蚀设备国产化不足30%等离子体刻蚀机约占85%LECCP电容性等离子体刻蚀机元ICP电感性等离子体刻蚀机约76亿美元等离子刻蚀设备市场份额占比屹唐半导中微公司2%中微公司2%4%新能源源机驱动新能源源机驱动传统硅基功率器件发展达到极限,第三代半导体时代已经到来新兴应用高速发展新兴应用高速发展SiC硅基材料难以满足硅基材料难以满足…………GaNGaNSilicon数据来源:互联网公开资料、云岫资本整理11SiC切磨抛过程中易产生碎裂、翘曲等问题,需要长期的研发和生产积累,以及很高的生产规范性或高度自动化在此基础上,SiC切磨抛将主要沿着提高生产效率和降低损耗两个方向演进,因此金刚线切割和激光切割近年来也成为备受瞩目的新技术路径22碳化硅器件成本结构SiC产业链具有显著的价值量倒挂情况,衬底的壁垒最高价值量最大SiC衬底的核心壁垒在于晶体生长,缺陷控制难度极高SiC存在200多种晶型,仅4H11SiC切磨抛过程中易产生碎裂、翘曲等问题,需要长期的研发和生产积累,以及很高的生产规范性或高度自动化在此基础上,SiC切磨抛将主要沿着提高生产效率和降低损耗两个方向演进,因此金刚线切割和激光切割近年来也成为备受瞩目的新技术路径22碳化硅器件成本结构SiC产业链具有显著的价值量倒挂情况,衬底的壁垒最高价值量最大SiC衬底的核心壁垒在于晶体生长,缺陷控制难度极高SiC存在200多种晶型,仅4H晶型可用于制作SiC衬底,晶体生长过程需精确控制生长温度梯度、气流气压以及晶体生长速率等参数,否则易产生多晶型夹杂;此外,SiC晶体生长过程中缺乏有效监控手段,非常依赖公司在长晶工艺方面的积累主流PVT法易产生位错、层错等缺陷,影响器件良率,公司需要不断的技术迭代来降低位错密度,进而才能导入汽车等对衬底位错有较高要求的应用场景SiC衬底制造环节壁垒最高价值量最大,衬底的缺陷控制尤其关键碳化硅衬底碳化硅晶锭碳化硅粉末碳化硅芯片碳化硅器件碳化硅晶圆碳化硅衬底碳化硅晶锭碳化硅粉末碳化硅芯片碳化硅器件碳化硅晶圆碳化硅外延入…第二步核心技术难点核核心技术难点金刚线和激光切割是未来主要方向制备方法原理砂浆切割是一种以砂浆为磨料附着在钢丝线的表面,利用钢线的高速运动将砂浆带入锯缝,让该磨料颗粒与切割材料进行摩擦切割的技术优点X切割速度低X理论损耗高,钢线切割损耗不可避免ü工艺成熟ü设备成本低ü单次可以切多个晶锭ꓫ典型速率200-400金刚线和激光切割是未来主要方向制备方法原理砂浆切割是一种以砂浆为磨料附着在钢丝线的表面,利用钢线的高速运动将砂浆带入锯缝,让该磨料颗粒与切割材料进行摩擦切割的技术优点X切割速度低X理论损耗高,钢线切割损耗不可避免ü工艺成熟ü设备成本低ü单次可以切多个晶锭ꓫ典型速率200-400um/h2000+um/h500um/h2200-2500℃2500℃1460-1800℃4H&6H4H&6H4H&6Hü生长速率快可持续供料生长ü生长速率较快优点晶体纯度更高ü可持续供料生长ü耗材成本较低ü更易控制缺陷ü掺杂方便ü掺杂方便ꓫ生长速率慢60%左右)ꓫꓫ封闭系统长晶厚度有限ꓫ难以监控,缺陷难以控制没有成熟的设备供应商设备稳定性不高扩径难度大耗材成本相对较高ꓫ需要解决C在Si溶液中的溶解问题,金属助溶剂难以控制金属杂质,对器件有致命影响ꓫ对材料要求高产业化未来2-3年未来5-10年Cree/II-VI/SiCrystal/主要厂商天岳/天科/烁科/超芯星/Norstel/Denso/超芯星住友金属/晶格领域/臻晶原理金刚线切割是一种利用附有金刚石磨料颗粒的钢丝线对碳化硅晶锭进行高速往复式削切的切割技术优点X加工效率低X耗材成本高、材料损耗率高ü切割速度快ü理论损伤低ü理论切割质量好原理激光切割利用具有极好聚焦能力的光学系统将激光透过碳化硅的表面聚焦晶片内部,在特定位置形成改性层之后可从晶锭上剥离出晶片优点X目前损耗较高与砂浆类似X设备技术壁垒高,尚无大规模可用的国产设备ü理论损耗极低ü切割速度快ü理论切割质量极高可以节省磨抛环节HTCVD将革新SiC长晶工艺现有方法遇瓶颈,新的长晶和切割工艺未来可能实现弯道超车数据来源:宽禁带半导体技术创新联盟、浙商证券研究所、国泰君安证券研究所、云岫资本整理SiC衬底需求爆发式增长,供需极度紧张当前衬底是SiC产业链最关键的一环,全球碳化硅衬底目前正面临极度的供不应求。事实上,我们认为这也是特斯拉不得不寻求减少碳化硅用量的直接原因。如果按照1片6寸衬底供给2辆新能源汽车来计算,SiC衬底需求爆发式增长,供需极度紧张当前衬底是SiC产业链最关键的一环,全球碳化硅衬底目前正面临极度的供不应求。事实上,我们认为这也是特斯拉不得不寻求减少碳化硅用量的直接原因。如果按照1片6寸衬底供给2辆新能源汽车来计算,那么2022年特斯拉130万辆的产销就需要65万片6寸碳化硅衬底,同期全球碳化硅衬底产能不过80-100万片。考虑到一部分衬底只能用来做工规级产品,那么目前全球产能也只能勉强满足特斯拉一家车企的需求。而特斯拉计划2030年实现2000万辆的年产能,相当于1000万片的衬底需求,这需要全球衬底产能扩大10倍以上。即使考虑到单车碳化硅用量可能的减少,根据云岫资本测算,到2027年,全球车载碳化硅衬底需求量仍会突破650万片,其中中国市场需求也将突破240万片,当前产能仍有6倍缺口。导电型SiC衬底国产替代任重道远半绝缘型SiC衬底天岳先进已成全球龙头SiCSiC衬底国产替代空间大光伏及储能DC-DC转换器展氮化镓凭借独特优势,成为新型产业发展的刚性需求光伏及储能DC-DC转换器展氮化镓凭借独特优势,成为新型产业发展的刚性需求性ü新能源汽车üDü新能源汽车ü数据及计算ü数据及计算SENPHASEOMetaSENPHASEIDM企业中电科55所海特高新江苏能华华微电子闻泰科技扬杰科技IDM企业中电科55所海特高新江苏能华华微电子闻泰科技扬杰科技功率器件射频器件光电器件氮化镓市场增长加速,2026年逾千亿氮化镓企业产业链不断扩展,各领域竞相发展世纪金光安谱隆三安光电上海镓特重庆聚力成中国氮化镓行业市场规模不断扩大,行业集中度较为分散2021-2026中国GaN市场规模0汉骅半导体三安光电华润微电子√√√√√√√√√√√√√√√√√√√√数据来源:头豹研究院、云岫资本整理•基板是封装过程最大的成本端,IC载板成本占封装成本的70%以上,基板成本占IC载板成本的30%以上2021•基板是封装过程最大的成本端,IC载板成本占封装成本的70%以上,基板成本占IC载板成本的30%以上2021年全球ABF载板供数芯片厂商基板交货周期大于52周,高端封装基板一板难求,预计产能还将吃紧至全球ABF载板市场规模(亿美元)数据来源:立鼎产业研究网、ICInsights、半导体行业观察、云岫资本整理基板是封装过程最大的成本端,高端ABF载板供不应求,国产化率几乎为零技术参数ABF载板SLPSLP类载板HDIHDI高密度4-22层2-10层2-102-10层4-16层0.4-20.04-10.2-1.50.25-26-2520-3040-60<150x150--300x210制造难度20212021年全球ABF载板竞争格局•目前欣兴电子、揖斐电和奥特斯三家市占率最高,微芸半导体磐石创新优晶科技硅晶体生长华海清科上海微电子启尔机电科益虹源微高精密陛通半导体拓荆科技原磊纳米韫茂科技(mte北方华创微导纳米屹唐半导体(mte北方华创微芸半导体磐石创新优晶科技硅晶体生长华海清科上海微电子启尔机电科益虹源微高精密陛通半导体拓荆科技原磊纳米韫茂科技(mte北方华创微导纳米屹唐半导体(mte北方华创鲁汶仪器金盛微纳屹唐半导体鲁汶仪器金盛微纳恒格微电子恒格微电子至纯科技稷以科技屹唐半导体 北方华创盛美半导体亚电科技盛美半导体至纯科技首芯半导体首芯半导体首芯半导体衍梓智能上海新阳纳设智能北方华创博锐恒电子北方华创 苏大维格 CMP众硅烁科精微连城数控半导体自动化PCB设备涂胶显影果纳半导体HUAXN新施诺HANCH寒驰科技广川科技华峰测控长川科技冠中集创华兴源创宏泰科技胜达克盛美半导体博湃半导体皇虎科技寒驰科技悦芯科技加速科技御渡半导体半导体自动化PCB设备涂胶显影果纳半导体HUAXN新施诺HANCH寒驰科技广川科技华峰测控长川科技冠中集创华兴源创宏泰科技胜达克盛美半导体博湃半导体皇虎科技寒驰科技悦芯科技加速科技御渡半导体封装测试封装测试冠中集创GZICTEST科视光学科视光学正业科技恒格微电子稷以科技精测半导体微崇半导体鲁汶仪器御微半导体埃芯半导体上海睿励TZTEK天准"优睿谱联讯仪器厦门钜瓷靖江先锋新莱应材三责新材欣奕华隐冠半导体新松机器人通嘉宏瑞ORTUNE华卓精科万业企业精测半导体微崇半导体鲁汶仪器御微半导体埃芯半导体上海睿励TZTEK天准"优睿谱联讯仪器厦门钜瓷靖江先锋新莱应材三责新材欣奕华隐冠半导体新松机器人通嘉宏瑞ORTUNE华卓精科万业企业过程检测过程检测超芯星天科合达瀚天天成天岳先进露笑科技Aalbosemi封装材料材料相关标的SiC衬底/外延GaN单晶/衬底制造材料烁科晶体铭镓半导体进化半导体华特气体金宏气体宏芯气体追光科技德尔科技博康信息润邦半导体安德科铭绿菱气体博来纳润威迈芯材金瑞泓5M最成半导体上海新阳ZINGSEMM上海新昇Gemch基迈克博纯材料北京科华清溢光电安集科技雅克科技科睿斯新菲新材料德邦科技奥芯半导体珠海越亚和美精艺芯爱科技芯承半导体奥芯半导体超芯星天科合达瀚天天成天岳先进露笑科技Aalbosemi封装材料材料相关标的SiC衬底/外延GaN单晶/衬底制造材料烁科晶体铭镓半导体进化半导体华特气体金宏气体宏芯气体追光科技德尔科技博康信息润邦半导体安德科铭绿菱气体博来纳润威迈芯材金瑞泓5M最成半导体上海新阳ZINGSEMM上海新昇Gemch基迈克博纯材料北京科华清溢光电安集科技雅克科技科睿斯新菲新材料德邦科技奥芯半导体珠海越亚和美精艺芯爱科技芯承半导体奥芯半导体制造材料制造材料汉骅半导体纳维科技镓特半导体晶能光电彩虹蓝光吴越半导体仙芯生代中镓半导体晶湛半导体聚能晶源中晶半导体玖凌光宇博敏电子EPS康强电子GaN衬底/外延新昇半导体ZINGSEM奕斯伟材料宇泽半导体NOIG上海超硅LinB晶睿JINRAYCCMC中欣晶圆SCC深南电路本诺电子伊帕思欣盛半导体汉骅半导体纳维科技镓特半导体晶能光电彩虹蓝光吴越半导体仙芯生代中镓半导体晶湛半导体聚能晶源中晶半导体玖凌光宇博敏电子EPS康强电子GaN衬底/外延新昇半导体ZINGSEM奕斯伟材料宇泽半导体NOIG上海超硅LinB晶睿JINRAYCCMC中欣晶圆SCC深南电路本诺电子伊帕思欣盛半导体立德半导体新创元新创元半导体安捷利美维礼鼎半导体兴森科技景旺电子胜宏科技材料相关标的硅片封装材料硅片封装材料封装材料2019-2022年中国新能源汽车销量数据来源:Trendforce、中国汽车工业协会、中国乘用车联合会、云岫资本整理1232019-2022年中国新能源汽车销量数据来源:Trendforce、中国汽车工业协会、中国乘用车联合会、云岫资本整理1234567892022年新能源汽车销量高速增长,国产品牌引领市场2019-2022年全球新能源汽车销量0002022年新能源汽车厂商销量榜2023年预计中国汽车出口会超过日本,成为世界第一2022年中国成为全球第二大汽车出口国2023年预计中国汽车出口会超过日本,成为世界第一2022年中国成为全球第二大汽车出口国8数据来源:中国汽车工业协会、中国乘用车联合会、北京半导体行业协会、盖世汽车研究院、天风证券研究所、云岫资本整理上车系统认证ZADI拓展其他整车封测80,000种45,000种拓展产品研发投入横向和向上拓展品类较困难技术难度AEC-Q100车规级模拟芯片壁垒高,芯片供应商需要形成良性循环上车系统认证ZADI拓展其他整车封测80,000种45,000种拓展产品研发投入横向和向上拓展品类较困难技术难度AEC-Q100车规级模拟芯片壁垒高,芯片供应商需要形成良性循环产品品类是竞争关键因素验证周期长且要求严苛通过验证9~12月3~6月10~12月18~24月3~6月通过验证其他品类现有品类其他品类三电动力系统:DC-DC转换器、逆变器动力总成传感器2026年$2.74BCAGR0.5%2020年CAGR9%TIADI产品数量2022营收80000+75000+4000+200亿美金120亿美金4.55亿美金2.55亿美金 汽车是电源管理芯片增速最快的赛道•2022年全球电源管理芯片市场规模超400亿美元三电动力系统:DC-DC转换器、逆变器动力总成传感器2026年$2.74BCAGR0.5%2020年CAGR9%TIADI产品数量2022营收80000+75000+4000+200亿美金120亿美金4.55亿美金2.55亿美金 汽车是电源管理芯片增速最快的赛道•2022年全球电源管理芯片市场规模超400亿美元,中国市场约+13%全球及全国电源管理芯片市场规模(亿美元) +64%2022E2018201920202021PMIC在汽车赛道的主要应用及价值量高级驾驶辅助系统(ADAS):ADAS域控制器、激光雷达、摄像头单车价值量200元+单车价值量500元+数据来源:Wind,杰华特招股书,灿瑞科技招股书,Yole,半导体行业观察,TMR,中商产业研究院,Frost&Sullivan,云岫资本测算及整理电源管理芯片市场存量空间广阔车身电子及照明系统:车身电子及照明系统:柱、后视镜单车价值量100元+柱、后视镜单车价值量100元+•2020年,全球单车模拟芯片价值量约150美元,到2027年,单车模拟芯片价值量将达到300美元以上2023年Q2IGBT货期与价格趋势货期(周)货期趋势价格趋势39-50稳定稳定安森美39-52稳定稳定2023年Q2IGBT货期与价格趋势货期(周)货期趋势价格趋势39-50稳定稳定安森美39-52稳定稳定50-54稳定稳定Microsemi42-52稳定稳定意法半导体47-52稳定稳定•当前IGBT仍然缺货,2023年Q1/Q2与2022年Q4的交期基本功率半导体仍然供不应求,产品向高功率密度与低损耗发展代数技术特点压功率损耗10.520.33沟槽型(Trench)400.25433000.25545000.19665000.157>75000.12IGBT单管指单颗IGBT芯片的封装,结构简单,属于规格标准化产品,一般选型后直接适用,主要应用于中低压场景IGBT模块由IGBT芯片经多芯片并联及多道封装工艺制成,是基于应用的定制化产品,模块是与客户建立长期合作关系的桥梁,主要应用于中高压场景•800V新能源车•400V新能源车IGBT单管与模块IGBT芯片IGBT单管与模块锦浪科技90%英飞凌安森美2022年乘用车功率模块装机份额斯达半导新洁能数据来源:NE锦浪科技90%英飞凌安森美2022年乘用车功率模块装机份额斯达半导新洁能数据来源:NE时代,集微咨询、乘联会、前瞻产业研究院、云岫资本整理术√IGBT主要应用领域600-1350√√600-1350√√750-6500√√√√√斯达半导100-3300√√√√√新洁能600-1350√√√宏微科技650-1700√√√√2022年光伏逆变器用IGBT国产化率约10%斯达半导士兰微新洁能斯达半导士兰微新洁能100%100%英飞凌安森美SiC相较传统硅基功率半导体具有明显优势新能源车是碳化硅功率器件最大的下游市场阶段最快的量产车型发布时间品牌具体应用特斯拉Model32018特斯拉电驱主逆变器上,釆用了意法半导体供应的SiC相较传统硅基功率半导体具有明显优势新能源车是碳化硅功率器件最大的下游市场阶段最快的量产车型发布时间品牌具体应用特斯拉Model32018特斯拉电驱主逆变器上,釆用了意法半导体供应的650V碳化硅MOSFET器件特斯拉ModelY2020特斯拉动力模块后轮驱动采用了碳化硅MOSFET高性能四驱版2020国内首款采用自研碳化硅模块的车型,功率特斯拉ModelSPlaid2021该款车搭栽的碳化硅逆变器助其成为全球现特斯拉2021推出的800V平台采用碳化硅器件,可实现充C-Power220s2021中车时代该产品是国内首款基于自主碳化硅大功率电电气驱产品,系统效率最高可达94%2021阳光电源2021年5月底发布了碳化硅电机控制嚣,并且就是miniEV的供应商2022上汽大众载在ID.4X车型上2022搭裁碳化硅电驱系统,将在2022年第一季度蔚来汽车SiC功率器件比传统硅基器件更具性能优势,车用SiC功率器件规模将快速增长体积体积&重量高50KHz以上300ns低20KHz以下50ns8989+ 477%+6,298海外巨头主导SiC器件市场,并不断扩充产能2023年底产能50万片(较2022年增海外巨头主导SiC器件市场,并不断扩充产能2023年底产能50万片(较2022年增加1倍),2024年底产能1002027年SiC产能扩充至当前的10倍,目标在2030年左右占有MVF工厂8寸线晶圆2024年产能规划为17万片,预计2024年2025年3月前对宫崎工厂累计投资35.8亿人民币,将SiC产能扩增至2016年度的16倍,其中新能源汽车SiC功率半导体产2021年全球导电型SiC功率器件竞争格局SiC器件市场仍有海外主导,国内创业公司众多,头部公司向IDM转型 国内创业公司众多,国内创业公司众多,头部企业向IDM转型产能支撑目前SiC器件产能尚处于供不应求状态,获得头部代工厂产能排产能支撑目前SiC器件产能尚处于供不应求状态,获得头部代工厂产能排期/自建产线的创业公司拥有竞争优势衬底供应目前全球SiC衬底存在严重的供不应求,稳定且优质的SiC衬底来源是器件厂商发展壮大的关键要素技术实力SiC器件仍然处在技术快速发展器件,器件性能、良率、体积都在不断优化,因此技术实力仍然是第一竞争要素客户开发目前新能源汽车是SiC器件最大的应用市场,车企验证流程漫长复杂,打入车企供应链也是核心壁垒之一nIDM或成为主要商业模式产能支撑是创业公司竞争的关键要素之一,因此为保障产能稳定性和核心竞争力,头部设计公司逐步向IDM转型为更好满足客户需求,器件公司切入模块环节以提升SiC功率模块封装专业性;此外,未来同时为了与衬底公司更深绑定并更好把控工艺稳定性,器件公司也可能向上游外延拓展元CANBMS主控模块构是目前AEC-Q100认证ASILD认证BCD高压工艺数据来源:维科网、Yole、财通证券、新能源BMS、佐思汽车研究、云岫资本整理车规级BMS芯片是应用在汽车电池管理系统中的芯片主流新能源车企目前仍采用欧美厂商的BMS芯片车规级BMS芯片技术壁垒高,市场被海外玩家垄断元CANBMS主控模块构是目前AEC-Q100认证ASILD认证BCD高压工艺数据来源:维科网、Yole、财通证券、新能源BMS、佐思汽车研究、云岫资本整理车规级BMS芯片是应用在汽车电池管理系统中的芯片主流新能源车企目前仍采用欧美厂商的BMS芯片车规级BMS芯片技术壁垒高,市场被海外玩家垄断 BMS是对动力电池进行监测和控制的系统讯架构12V电源在各类BMS芯片中,车规级BMS芯片难度最高特斯拉特斯拉ModelS隔离通讯芯AFE芯片隔离通讯芯AFE芯片MCU隔离通讯芯片AFE芯片哪吒S哪吒SBMS整体解决方案无线BMS解决方案无线BMS解决方案Qualcoww国内BMIC企业市场份额占比<10%BMS芯片市场国外厂商占据主要份额Qualcoww国内BMIC企业市场份额占比<10%BMS芯片市场国外厂商占据主要份额28%28%TI和TI和ADI合计占据的份额,呈现双寡头格局中中高隔离器技术路线对比•隔离芯片将低压域系统和高压域系统隔离开,使两端电流无法流通,但信号仍然可以传递。•中中高隔离器技术路线对比•隔离芯片将低压域系统和高压域系统隔离开,使两端电流无法流通,但信号仍然可以传递。•高压场景都需要使用隔离芯片,起到保障操作人员和低压电路安全、提高电路抗干扰能力的作用。广泛应用于高压场景:•每辆新能源车需50+个隔离类芯片,单车价值量400元•单个200KW逆变器需70+个隔离类芯片•伺服器需15+个,PLC需30+个,工业电驱需8个隔离类隔离芯片是高压场景中的必要芯片,磁隔离器优势明显数据来源:icspec、浙商证券研究所、动力总成CAN车身控制CANTCUT-box动力域 网辅助驾驶域摄像头辅助驾驶CANTV器车载以太网络 传统车载网络 CAN 动力总成CAN车身控制CANTCUT-box动力域 网辅助驾驶域摄像头辅助驾驶CANTV器车载以太网络 传统车载网络 CAN 娱乐域20082016201720192020车载以太网的高速率可以适应智能汽车的通信要求以太网将与CAN和LIN共同组成车身网络数据来源:车云、北汽产投、盛科通信招股说明书、方正证券研究所、云岫资本整理国外大厂占据主要市场份额名称通信速率通信介质应用范围应用范围CAN非屏蔽双绞线低骨干网骨干网、故障诊断、20Kb/s单线缆低CAN-FD8Mb/s非屏蔽双绞线低10Mb/s双绞线/光纤ABS、换挡控制、刹车控制、转向控制等150Mb/s双绞线/光纤导航、娱乐系统等655Mb/s中车载摄像头1000Mb/s单对非屏蔽双低车载以太网逐渐成为车厂共识5.0%5.0%车载信息娱乐OSV2X车载信息娱乐OSV2X应用开放式车联网数字化运营平台车联网信息安全云车联网核心蜂窝通信V2X运营平台安全和隐私车载实时OS机电控制2G/3G综合业务智能OS802.11P技术封闭式车联网运营平台车联网核心技术演变图数据来源:网络公开资料,《智能网联汽车技术路线图2.0》,前瞻产业研究院,亿欧智库,云岫资本测算及整理中国车联网规模及渗透率0 400+22%交通大脑V2XServerC-V2XC-V2XC-V2X车身域控智能座舱数字仪表盘T-BOXTBOX满足车云通讯需求CV2X生态构造中,初创厂商仍有较汽车通讯发展路径:车-云通讯率先落地,车-路-云通讯等2021年市场份额智能终端20182019202020212022E+22%交通大脑V2XServerC-V2XC-V2XC-V2X车身域控智能座舱数字仪表盘T-BOXTBOX满足车云通讯需求CV2X生态构造中,初创厂商仍有较汽车通讯发展路径:车-云通讯率先落地,车-路-云通讯等2021年市场份额智能终端20182019202020212022E联友科技6.5%2023E2024E2025E大厂商仅12%市场份额V2X在车路云系统中的应用GNSS边缘计算路边单元C-V2XC-V2X车载单元OBU车载单元OBU数据来源:网络公开资料,佐思汽研,信通院,赛迪智库,云岫资本测算及整理前视摄像头•FCW/LDW/TSP/PCW•夜视驾驶辅助•行车记录仪侧视摄像头ModelYONE001环视摄像头•疲劳驾驶监测Alpha0前视摄像头•FCW/LDW/TSP/PCW•夜视驾驶辅助•行车记录仪侧视摄像头ModelYONE001环视摄像头•疲劳驾驶监测Alpha0汽车智能化趋势推动汽车CIS需求快速增长后视摄像头后视摄像头•倒车影像竞争策略价格驱动技术驱动预计到2025年,车载CIS将产生3x的产能缺口具备设计能力的产能紧张技术要求追求高像素,对CIS的技术和工艺要求高全方位的技术能力,追求稳定性与安全性竞争策略价格驱动技术驱动预计到2025年,车载CIS将产生3x的产能缺口具备设计能力的产能紧张技术要求追求高像素,对CIS的技术和工艺要求高全方位的技术能力,追求稳定性与安全性产线要求技术指标产品售价使用寿命像素范围动态范围感光度近红外感光度需求2-100MP60-70dB2,000-3,500mv/Luxs无像素范围动态范围感光度近红外感光度需求30-120FPS100-140dB3,500-12,000mv/Luxs中产能高车规级产线<5美金10美金3-5年8-10年数据来源:公开资料、云岫资本测算(产能以2020年为基准做归一化处理)汽车CIS是技术驱动型产品,产能紧张为新进入者提供机遇产能缺口提供进入机会产能缺口提供进入机会全球车载CIS产能缺口预测4.0x20202025年产能总需求像素提升CIS面积增大现有设计能力的公司并没有足够产能应对市场需求的快速增长新进入者有机会参与市场竞争蓝山DHT-PHEV2021年以来激光雷达加速上车2022年搭载激光雷达的车型2023年搭载激光雷达的车型数据来源:Frost&Sullivan、蓝山DHT-PHEV2021年以来激光雷达加速上车2022年搭载激光雷达的车型2023年搭载激光雷达的车型数据来源:Frost&Sullivan、方正证券研究所、公开资料、云岫资本整理全球市场规模(亿美元)汽车前装搭载激光雷达推动行业快速增长蔚来ET7520212025E机械式态转镜/棱镜OPA机械式态转镜/棱镜OPA数据来源:公开资料、云岫资本整理激光雷达结构激光回波接收回波信号发射激光脉冲模拟信号驱动激光器发射激光脉冲通道选通及模拟信号放大放大后的模拟信号ADC/TDC数字化光纤激光器用掺稀土元素玻璃光纤作为增益介质的激光器,一般用光激光雷达结构激光回波接收回波信号发射激光脉冲模拟信号驱动激光器发射激光脉冲通道选通及模拟信号放大放大后的模拟信号ADC/TDC数字化光纤激光器用掺稀土元素玻璃光纤作为增益介质的激光器,一般用光纤光栅作为谐振腔,稀土离子吸收泵浦光形成粒子数反转,在谐振腔中选模放大后输出激光复杂性增加,运行成本高描VCSEL在芯片的上下两面镀光学膜,形成由于光学谐振腔与衬底垂直,能够实现垂直于芯片表面发射激光在芯片的两侧镀光学膜形成谐振腔,沿平行于衬底表面发射激光晶圆级制造,成本低,合阵列集成输出功率及电光效率较技术成熟,功率密度高生产成本高以保障态SPAD无低探测器成本信号完整性高探测器噪声1200nm(Si)2.6um(InGaAs)围APD高探测器成本信号完整性、猝灭电路高探测器噪声1150nm(Si)1700nm(InGaAs)高探测器成本信号完整性低探测器噪声1150nm(Si)1700nm(InGaAs)激光器和探测器是激光雷达的关键部件数据来源:禾赛科技招股书、滨松电子、中信建投ü收发通道增加 2.0%安波福+25.5%6.0%202120222023202420ü收发通道增加 2.0%安波福+25.5%6.0%202120222023202420硬件加速渗透前装市场,毫米波雷达芯片是产业链关键环节低成本5.0%TI毫米波雷达芯片布局77GHz60GHzAWR1843AOPAWR2944AWR1843AOPAWR2944AWR6443AWR6843AWR1243AWR1443AWR1642AWR1843AWR2243AWR6843AOPMMIC+HWA+MMIC+DSP+MMIC+HWA+MMIC+HWA+MCUMCUDSP+MCUDSP+MCU+天线üDSP性能可适度下降+5.3%通过霍尔元件与磁场距离变化引起磁场变化,输出0或1信+5.3%通过霍尔元件与磁场距离变化引起磁场变化,输出0或1信磁传感器应用广泛通过霍尔元件与磁场的线性/角度距离变化引起磁场变化,输出与磁场强度相关的电压幅值,由此来测量线性位置/角通常用两轴霍尔传感器与单轴霍尔传感器封装而成,测量三旋转运动中产生变化的磁场,电流方向大小变化引起磁场变全球车载磁传感器市场规模(亿美元)磁传感器在汽车行业广泛应用,国产替代仍有较大空间2023E2023E2024E2025E撑座Fabless模式cammESP系统MEMS传感器均价单车价值50个单车MEMS数量国内汽车产量全球汽车产量Fabless模式cammESP系统MEMS传感器均价单车价值50个单车MEMS数量国内汽车产量全球汽车产量国内市场规模全球市场规模液压制动MEMS传感器在车内应用广泛,IDM是行业主流模式压力传感器加速度计胎压监测排放控制系统排放控制系统惯性导航系统安全气囊无法采用标准CMOS制造工艺

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论