版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
VerilogHDL入门与功能仿真1.1硬件描述语言简介2VerilogHDL入门与功能仿真1.2VerilogHDL程序的基本结构1.3逻辑功能的仿真验证过程1.4ModelSim仿真软件的使用1.5VerilogHDL功能仿真常用命令1.1硬件描述语言简介硬件描述语言HDL(HardwareDescriptionLanguag)类似于高级程序设计语言.它是一种以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,复杂数字逻辑系统的逻辑功能。用HDL编写设计说明文档易于存储和修改,并能被计算机识别和处理.HDL是高层次自动化设计的起点和基础.目前,IEEE推出两种标准:VHDL和VerilogHDL(1)VHDL的起源与发展VeryhighspeedintegratedHardwareDescriptionLanguage(VHDL)它是70年代末和80年代初,起源于美国国防部提出的超高速集成电路VHSIC研究计划,目的是为了把电子电路的设计意义以文字或文件的方式保存下来,以便其他人能轻易地了解电路的设计意义。1981年6月成立了VHDL小组。1983年第三季度,由IBM公司、TI公司、Intermetric公司成立开发小组。1986年3月,IEEE开始致力于VHDL的标准化工作,讨论VHDL语言标准。IEEE于1987年12月公布了VHDL的标准版本(IEEESTD1076/1987);1993年VHDL修订,形成新的标准即IEEESTD1076-1993)。(2)VerilogHDL的起源与发展1981年GatewayAutomation硬件描述语言公司成立;1983~84年间该公司发布“VerilogHDL”及其仿真器Verilog-XL;1986年PhilMoorby提出快速门级仿真的XL算法并获得成功,Verilog语言迅速得到推广。Verilog-XL较快,特别在门级,能处理万门以上的设计。1987年Synonsys公司开始使用Verilog行为语言作为它综合工具的输入;1989年12月Cadence公司并购了Gateway公司;1990年初Cadence公司把VerilogHDL和Verilog-XL分成单独产品,公开发布了VerilogHDL,与VHDL竞争。并成立OpenVerilogInternational(OVI)组织,负责Verilog的发展和标准的制定。1993年几乎所有ASIC厂商支持VerilogHDL,认为Verilog-XL是最好的仿真器。OVI推出1.0版本的VerilogHDL规范,IEEE接受了将OVI的Verilog1.0作为IEEE标准的提案。1995年12月,定出VerilogHDL的标准IEEE1364。2001年3月IEEE正式批准了Verilog-2001标准(即IEEE1364-2001)。
Verilog-2001标准在Verilog-1995的基础上有几个重要的改进。新标准有力地支持可配置的IP建模,大大提高了深亚微米(DSM)设计的精确性,并对设计管理作了重大改进。(2)VerilogHDL的起源与发展
能力(capability)VHDL结构建模抽象能力强系统级-算法级-RTL级-逻辑级-门级Verilog结构建模具体物理建模能力强算法级-RTL级-逻辑级-门级-版图级(3)两种语言的比较(能力、数据类型、易学性、效率)
数据类型(datatype)VHDL是一种数据类型性极强的语言。支持用户定义的数据类型。当对象的数据类型不一样时必须用类型转换函数转换。可以使用抽象(比如枚举)类型为系统建模。能利用数据类型检查编程的错误。Verilog数据类型简单。只能由语言本身定义,不能由用户定义。适于硬件结构的建模,不适于抽象的硬件行为建模。
易学性(easiesttolearn)VHDL是一种数据类型很强的语言,欠直观。加之同一种电路有多种建模方法,通常需要一定的时间和经验,才能高效的完成设计。VHDL根植于ADA,有时简洁,有时冗繁,如行为描述简洁,结构描述冗繁。Verilog由于Verilog为直接仿真语言,数据类型较简单,语法很直观,故Verilog更易理解和好学。Verilog更像C,约有50%的结构来自C,其余部分来自ADA。
效率VHDL由于数据类型严格,模型必须精确定义和匹配数据类型,这造成了比同等地verilog效率要低。Verilog不同位宽的信号可以彼此赋值,较小位数的信号可以从大位数信号中自动截取自己的位号。在综合过程中可以删掉不用的位,这些特点使之简洁,效率较高。
近年来,VHDL又有了一些新的发展。例如,为了大幅度提高EDA工具的设计能力,出现了一系列对HDL语言的扩展。OO-VHDL(Object-OritetedVHDL,即面向对象的VHDL)
模型的代码比VHDL模型短30%~50%,缩短了开发时间,提高了设计效率。美国杜克大学扩展的DE-VHDL(DukeExtendedVHDL)通过增加3条语句,使设计者可以在VHDL描述中调用不可综合的子系统(包括连接该子系统和激活相应功能)。杜克大学用DE-VHDL进行一些多芯片系统的设计,极大地提高了设计能力。(4)VHDL语言的新进展OVI组织1999年公布了可用于模拟和混合信号系统设计的硬件描述语言Verilog-AMS语言参考手册的草案,Verilog-AMS语言是符合IEEE1364标准的VerilogHDL子集。目前Verilog-AMS还在不断的发展和完善中。(5)VerilogHDL语言的新进展HDL主要用于数字电路与系统的建模、仿真和自动设计。目前有两种标准的硬件描述语言:Verilog和VHDL。由于Verilog简单易学,所以我建议大家学习VerilogHDL语言。我国国家技术监督局于1998年正式将《集成电路/硬件描述语言Verilog》列入国家标准,国家标准编号为GB/T18349-2001,从2001年10月1日起实施。相信该标准的制定对我国集成电路设计技术的发展有重要的推动作用。结论1.2VerilogHDL程序的基本结构1.1.1
简单VerilogHDL程序实例1.1.2VerilogHDL程序的基本结构1.每个模块先要进行端口的定义,并说明输入(input)
、输出(output)和双向(inout),然后对模块功能进行描述。1.1.1简单VerilogHDL程序实例Verilog使用大约100个预定义的关键词定义该语言的结构1.VerilogHDL程序由模块构成。每个模块的内容都是嵌在关键词module和endmodule两个语句之间。每个模块实现特定的功能。3.除了endmodule语句外,每个语句后必须有分号。4.可以用/*---*/和//…..,对VerilogHDL程序的任何部分做注释。1.1.1简单VerilogHDL程序实例/*Gate-leveldescriptionofahalfadder*/moduleHalfAdder_GL(A,B,Sum,Carry);
inputA,B; //输入端口声明
outputSum,Carry;//输出端口声明
wireA,B,Sum,Carry;
xorX1(Sum,A,B);
andA1(Carry,A,B);
endmodule端口类型说明功能描述模块名数据类型说明1.1.1简单VerilogHDL程序实例/*Dataflowdescriptionofahalfadder*/moduleHalfAdder_DF(A,B,Sum,Carry);
inputA,B; outputSum,Carry;wireA,B,Sum,Carry;assignSum=A^B;
assignCarry=A&B;
endmodule/*Behavioraldescriptionofahalfadder*/moduleHalfAdder_BH(A,B,Sum,Carry);
inputA,B; outputSum,Carry;
regSum,Carry;//声明端口数据类型为寄存器
always@(AorB)begin Sum=A^B; //用过程赋值语句描述逻辑功能
Carry=A&B;endendmodulemodulemux2to1(a,b,sel,out);inputa,b,sel;//定义输入信号
outputout;//定义输出信号
wireselnot,a1,b1;//定义内部节点信号数据类型
//下面对电路的逻辑功能进行描述
notU1(selnot,sel);andU2(a1,a,selnot);andU3(b1,b,sel);
orU4(out,a1,b1);
endmodule结构描述(门级描述)电路结构描述例2选1数据选择器的程序实例modulemux2_1(out,a,b,sel);outputout;inputa,b;inputsel;
assignout=sel?b:a;endmodule数据流描述modulemux2_1(out,a,b,sel);outputout;inputa,b;inputsel;
assignout=(sel&b)|(~sel&a);endmodule数据流描述abselout01例2选1数据选择器的程序实例modulemux2_1(out,a,b,sel);outputout;inputa,b;inputsel;regout;always@(seloraorb)beginif(sel)out=b;elseout=a;endendmodulemodulemux2_1(out,a,b,sel);outputout;inputa,b;inputsel;
regout;always@(seloraorb)begincase(sel)1’b0:out=a;1’b1:out=b;endcaseendendmodule行为描述例2选1数据选择器的程序实例模块定义的一般语法结构如下:1.1.2VerilogHDL程序的基本结构行为描述方式:一般使用下述语句描述,可以对组合、时序逻辑电路建模。
1)initial
语句
2)always
语句数据流描述方式:一般使用assign语句描述,主要用于对组合逻辑电路建模。结构描述(门级描述)方式:一般使用Primitive(内部元件)、自定义的下层模块对电路描述。主要用于层次化设计中。几种描述方式小结:1.3逻辑功能的仿真验证过程HDL产生的最初动因就是为了能够模拟硬件系统,可以分析系统的性能,验证其功能是否正确。
要测试一个设计块是否正确,就要用Verilog再写一个测试模块。这个测试模块应包括以下三个方面的内容:
测试模块中要调用到设计块,只有这样才能对它进行测试;
测试模块中应包含测试的激励信号源;
测试模块能够实施对输出信号的检测,并报告检测结果;StimulusandcontrolResponseGenerationandVerificationDesignBlock
DUT:DeviceUnderTest写出测试模块的过程又称为搭建测试平台(testbench)例:2选1数据选择器的测试模块:modulemux2to1(out,a,b,sel);outputout;inputa,b,sel;
not(selnot,sel);and(a1,a,selnot);and(b1,b,sel);or(out1,a1,b1);endmodulemoduletest_mux;rega,b,s;wireout;
mux2to1u1(out,a,b,s);initialbegina=0;b=1;s=0;#10a=1;b=1;s=0;#10a=1;b=0;s=0;#10a=1;b=0;s=1;#10a=1;b=1;s=1;#10a=0;b=1;s=1;#10$finish;end
initial$monitor($time,“a=%bb=%bs=%bout=%b”,a,b,s,out);endmodule0a=0b=1s=0out=010a=1b=1s=0out=120a=1b=0s=0out=130a=1b=0s=1out=040a=1b=1s=1out=150a=0b=1s=1out=1
测试激励块与设计块之间的关系moduletest_mux;
rega,b,s;
wireout;
mux2to1u1(out,a,b,s);module
mux2to1(out,a,b,sel);
input
a,b,sel;output
out;
仿真时,信号线a、b、s上要加一组测试激励信号,这组激励信号的产生,是通过initial内部的过程语句产生的,而过程语句只能给reg型变量赋值。仿真时,信号线a、b、s上的激励信号是不能消失的,需要有“寄存”效应,能够描述这种“寄存”行为的,只能是reg型。1.3.2仿真过程简介使用软件ModelSim-Altera6.5bStarterEdition进行仿真验证的大致过程图1.3.2基于工程项目仿真的基本流程编译设计文件,进行仿真调试结果在项目中添加设计文件创建一个项目1.4ModelSim仿真
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 三年(2023-2025)辽宁中考语文真题分类汇编:专题10 非文学文本阅读(解析版)
- 2026青海海西州格尔木投资控股有限公司招聘3人参考题库附答案
- 2026重庆秀山土家族苗族自治县石耶镇公益岗招聘2人参考题库及答案1套
- 2026陕西西安东方航空食品招聘备考题库及答案1套
- 物业服务满意度协议(2025年客户评价机制)
- 医疗设备与药品供应链管理
- 医疗纠纷案例分析
- 医疗设备租赁行业新闻
- 2026年服务机器人场景适配项目营销方案
- 2026年微型化便携检测设备项目营销方案
- 23G409先张法预应力混凝土管桩
- 武器装备科研生产单位保密自检报告
- 2024-2025学年上学期上海六年级英语期末复习卷3
- 2024-2030年中国材料疲劳试验机行业市场发展趋势与前景展望战略分析报告
- 内河船舶制造行业发展前景及投资风险预测分析报告
- GB/T 43795-2024磁性氧化物制成的磁心机械强度测试方法
- 【川教版】《生命 生态 安全》三年级上册 第18课《学会垃圾分类》课件
- 自信自卑主题班会
- YY/T 1718-2020人类体外辅助生殖技术用医疗器械胚胎移植导管
- GB/T 28837-2012木质包装检疫处理服务质量要求
- 铜盐加速醋酸盐雾试验标准
评论
0/150
提交评论