交通灯控制器设计实验报告_第1页
交通灯控制器设计实验报告_第2页
交通灯控制器设计实验报告_第3页
交通灯控制器设计实验报告_第4页
交通灯控制器设计实验报告_第5页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、,.设计性实验项目 名称交通灯控制器设计实验项目学时:3 学时实验要求:必修 选修一、实验目的1、学习与日常生活相关且较复杂数字系统设计;2 、进一步熟悉 eda 实验装置和quartus软件的使用方法;3 、学习二进制码到bcd 码的转换;4 、学习有限状态机的设计应用。二、实验原理交通灯的显示有很多方式,如十字路口、丁字路口等,而对于同一个路口又有很多不同的显示要求,比如十字路口,车辆如果只要东西和南北方向通行就很简单,而如果车子可以左右转弯的通行就比较复杂,本实验仅针对最简单的南北和东西直行的情况。要完成本实验, 首先必须了解交通路灯的燃灭规律。 本实验需要用到实验箱 上交通灯模块中的发

2、光二极管, 即红、黄、绿各三个。依人们的交通常规,“红灯停,绿灯行,黄灯提醒”。其交通灯的燃灭规律为: 初始态是两个路口的红灯全亮之后, 主干道的绿灯亮,乡间道路的红灯亮, 主干道方向通车, 延时一段时间后,乡间公路来车,主干道绿灯灭,黄灯开始闪烁。闪烁若干次后,主干道红灯亮,而同时乡间公路的绿灯亮,延时一段时间后,乡间公路的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到主干道方向,重复上述过程。三、设计要求完成设计、仿真、调试、下载、硬件测试等环节,在型eda 实验装置上实现一个由一条主干道和一条乡间公路的汇合点形成的十字交叉路口的交通灯控制器功能,具体要求如下:1、 有 mr (主红)、my

3、 (主黄)、mg (主绿)、cr(乡红)、cy(乡黄)、cg(乡绿)六盏交通灯需要控制;2、 交通灯由绿转红前有4 秒亮黄灯的间隔时间,由红转绿没有间隔时间;3、 乡间公路右侧各埋有一个串连传感器,当有车辆准备通过乡间公路时,发出请求信号 s=1,其余时间 s=0;4、 平时系统停留在主干道通行(mgcr )状态,一旦s 信号有效,经主道黄灯4秒( mycr )状态后转入乡间公路通行(mrcg )状态,但要保证主干道通行大于一分钟后才能转换;5、 一旦 s 信号消失,系统脱离mrcg 状态,即经乡道黄灯4 秒( mrcy )状态进;.,.入 mgcr 状态,即使s 信号一直有效,mrcg 状态

4、也不得长于20 秒钟;6、 控制对象除红绿灯之外,还包括分别在主干道和乡间公路各有一个两位十进制倒计时数码管显示。四、主要仪器设备1、 微机1 台2、 quartusii 集成开发软件1 套3、 eda 实验装置1 套五、实验步骤源程序:0module traffic1(clk,s,rst,light,sel,sg);input clk,s,rst;output5:0 light;output 2:0sel;output 7:0sg;reg5:0 light ;regclk1;reg 3:0 sc;reg 7:0 count;reg 7:0 cnt,sg;reg 2:0 sel;reg 3:0

5、a;parameter s0=0,s1=1,s2=2,s3=3,s4=4;initialbegincount=8b01100000;light=6b001100;sc=s0;endalways (posedge clk)beginbegin cnt=cnt+1;if (cnt=100) begin clk1=1b1;cnt=0;endelseclk1=1b0;/100 分频, clk 为数码管扫描频率,clk1 为计数频率if (sel7) sel=sel+1;elsesel=6;end/sel 为数码管选择begincase (sel)7: a=count3:0; /0 数码管为个位6: a

6、=count7:4; /1 数码管为十位;.,.default: a=0;endcasecase (a)0:sg=8b00111111; 1:sg=8b00000110;2:sg=8b01011011; 3:sg=8b01001111;4:sg=8b01100110; 5:sg=8b01101101;6:sg=8b01111101; 7:sg=8b00000111;8:sg=8b01111111; 9:sg=8b01101111; /8 段译码值default: sg=8b11111111;endcaseendendalways (posedge clk1 or negedge rst)beg

7、inif (!rst) begin count = 0;sc=s3; end / count set nothing but else 0 else if(count = 0)begincase(sc)s0: begin if(s) begin sc=s1; count = 8b00000100;light=6b010100;end else begin sc=s4;count = 8b01100000;end ends1: begin count = 8b00100000;sc=s2;light=6b100001;ends2: begin count = 8b00000100;sc=s3;l

8、ight=6b100010;ends3: begin count = 8b01100000;sc=s0;light=6b001100;ends4: begin if(s) begin sc=s1;count = 8b00000100;light=6b010100;end else begin sc = s4; count = 8b01100000;light=6b001100;end enddefault begin sc=s0; count =8h60;endendcaseendelseif(sc=s2)&(s=0)begin sc=s3;count = 8b00000100;light=6b100010;end elseif (sc=s4)&(s=1)begin sc=s1; count = 8b00000100; light=6b010100;end else if(count3:0 = 4b0000)begin count = count-7 ;endelsebegin count = count-1 ;endendendmodule;.,.管脚锁定六、波形仿真主干道 60s 倒计时s 信号为 1 时;.,.七、实验心得通过本次实验

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论