数字系统课程设计交通灯控制器_第1页
数字系统课程设计交通灯控制器_第2页
数字系统课程设计交通灯控制器_第3页
数字系统课程设计交通灯控制器_第4页
数字系统课程设计交通灯控制器_第5页
已阅读5页,还剩10页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1东南大学数字系统课程设计设计报告项目名称: 交通灯控制器 姓 名: 学 号: 专 业: 实 验 室: 电工电子实验中心 组 别: 无 同组人员: 无 设计时间: 2016 年 8 月 26 日 2016 年 9 月 20 日评定成绩: 审阅教师: 2目 录一. 设计方案及论证 3二模块设计 5三. 总体设计与仿真 10四. 总结 123一. 设计方案及论证1.设计使用环境本交通灯控制系统设计利用 Verilog HDL 语言进行设计编程,利用 Cyclone EP1C6Q240C8 芯片和一些外围器件组成硬件电路,利用 Quartus II 软件将编写好的程序进行编译和仿真,并将调试完成的程序下载到 Cyclone EP1C6Q240C8 芯片上,通过观测电路板上的红绿信号灯以及数码管显示来分析系统的性能。2.设计任务分析主干道与乡村公路十字交叉路口在现代化的农村星罗棋布,为确保车辆安全、迅速地通过,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯禁止通行;绿灯允许通行;黄灯亮则给行驶中的车辆有时间行驶到禁行线之外。主干道和乡村公路都安装了传感器,检测车辆通行情况,用于主干道的优先权控制。 设计要求:1) 当乡村公路无车时,始终保持乡村公路红灯亮,主干道绿灯亮。2) 当乡村公路有车时,而主干道通车时间已经超过它的最短通车时间时,禁止主干道通行,让乡村公路通行。主干道最短通车时间为 25s 。3) 当乡村公路和主干道都有车时,按主干道通车 25s,乡村公路通车 16s 交替进行。4) 不论主干道情况如何,乡村公路通车最长时间为 16s。5) 在每次由绿灯亮变成红灯亮的转换过程中间,要亮 5s 时间的黄灯作为过渡。6) 用开关代替传感器作为检测车辆是否到来的信号。用红、绿、黄三种颜色的发光二极管作交通灯。7) 数码管倒计时显示3.测量控制原理1)通过乒乓开关来控制 FPGA 输入信号的电平,从而控制交通灯工作。2)利用 FPGA 输出的电平信号去驱动静态数码管及三色小灯来模拟交通灯。4.顶层设计方案框图及说明1)交通灯控制器框图4C 表示乡村道路是否有车到来,1 表示有,0 表示无;SET 用来控制系统的开始及停止;RST 是复位信号,高电平有效,当 RST 为 1 时,恢复到初始设置;CLK 是外加时钟信号;MR、MY、MG 分别表示主干道的红灯、黄灯和绿灯;CR、CY、CG 分别表示乡村道路的红灯、黄灯和绿灯,1 表示亮,0 表示灭。2)流程图MGCR 表示主干道绿灯,乡村道路红灯;MYCR 表示主干道黄灯,乡村道路红灯;MRCG表示主干道红灯,乡村道路绿灯;MRCY 表示主干道红灯,乡村道路黄灯;T0=1 表示主干道最短通车时间到,T1=1 表示 5 秒黄灯时间到,T2=1 表示乡村道路最长通车时间到。5二. 模块设计1.模块功能及端口说明1)分频模块输入端为 clk_in,即实验箱自带脉冲输入信号,输出端为 clk,即想得到的频率。2)主控制模块输入端为 CLK、RST、C。其中 c 为乡村道路开关,为 1 时表示乡村道路有车;rst 为初始化开关,为 1 时表示初始化为主干道绿灯,乡村道路红灯的状态。输出端为 MG、MY、MR、CG、CY、CR 分别表示主干道和乡村道路的红黄绿灯,与 LED 灯相连;mh、ml、ch、cl 分别表示主干道和乡村道路倒计时显示的高低位,与数码管相连。3)数码管显示模块6输入端为 clk 和 count,输出端为 LED。2.主要功能的设计方法1)分频模块试验箱可选晶振有 2M 和 50M,选择使用 2M 后,设置分频系数为 2000000,每计数到1000000,则输出取反,最终可得到 1HZ 的时钟信号。2)主控制模块设置两个外部控制条件:初始化(RST) ;乡村干道是否有车(C) ;设置一个内部计数变量:NUM,通过相关运算取余取整得到数码管显示高低位;通过有限状态机实现四个状态的循环切换。3. Verilog 设计程序及说明1)分频模块module fre(clk_in,clk);input clk_in;output clk;reg clk;reg 31:0k;always (negedge clk_in)beginif (k=1000000)/1000000 分频begin clk=25) /主干道黄灯,乡村道路红灯MG=0;MY=1;MR=0;CG=0;CY=0;CR=1;COUNT = 0 ;mh=0;ml=5;ch=0;cl=5;endelse if (COUNT= 25 /保持 s1MG=1;MY=0;MR=0;CG=0;CY=0;CR=1; mh=0;/数码管显示 08ml=0;ch=0;cl=0;endend s2:begin COUNT = COUNT+1;if(COUNT=5)/5s 黄灯已计完begin state = s3;/主干道红灯,乡村道路绿灯MG=0;MY=0;MR=1;CG=1;CY=0;CR=0;COUNT = 0 ;mh=2;/主干道 21s 红灯,乡村道路 16s 绿灯ml=1;ch=1;cl=6;endelse begin state = s2;/5s 黄灯未计完时,保持 s2 状态MG=0;MY=1;MR=0;CG=0;CY=0;CR=1; mh=0;ml=5-COUNT;ch=0;cl=5-COUNT;end end s3:beginCOUNT = COUNT+1;if(COUNT=16 )/主干道红灯,乡村道路黄灯MG=0;MY=0;MR=1;CG=0;CY=1;CR=0;COUNT = 0 ;mh=0;ml=5;ch=0;cl=5;endelse begin 9state = s3;/16s 未结束,仍保持 s3 状态MG=0;MY=0;MR=1;CG=1;CY=0;CR=0;mh=(21-COUNT)/10;ml=(21-COUNT)%10;ch=(16-COUNT)/10;cl=(16-COUNT)%10; end end s4:beginCOUNT = COUNT+1;if(COUNT=5) /5s 黄灯时间结束begin state = s1;/回到 s1 状态MG=1;MY=0;MR=0;CG=0;CY=0;CR=1;COUNT = 0 ;mh=2;ml=5;ch=3;cl=0;endelse begin state = s4;/否则保持 s4 状态MG=0;MY=0;MR=1;CG=0;CY=1;CR=0;mh=0;ml=5-COUNT;ch=0;cl=5-COUNT;end enddefault: begin state = s1;MG=1;MY=0;MR=0;CG=0;CY=0;CR=1;COUNT = 0;mh=0;ml=5-COUNT;ch=0;cl=5-COUNT;endendcase endmodule3)数码管显示模块10module led(clk,count,LED);input clk ;input 3:0count;output 7:0LED;reg 7:0LED ;always (posedge clk)begincase(count)4b0000:LED=8b00000011;4b0001:LED=8b10011111;4b0010:LED=8b00100101;4b0011:LED=8b00001101;4b0100:LED=8b10011001;4b0101:LED=8b01001001;4b0110:LED=8b01000001;4b0111:LED=8b00011111;4b1000:LED=8b00000001;4b1001:LED=8b00001001;default:LED

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论