基于FPGA的六层电梯控制器_第1页
基于FPGA的六层电梯控制器_第2页
基于FPGA的六层电梯控制器_第3页
基于FPGA的六层电梯控制器_第4页
基于FPGA的六层电梯控制器_第5页
已阅读5页,还剩20页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

EDA 技术项目设计报告题目:基于 FPGA 的六层电梯控制器学 院:电子与信息工程学院专 业:电子信息科学与技术姓 名: X X X 班 级: 14 电信本(2)班 学 号: 140919022 指导老师: X X X 二一六年十二月1、 绪论 -21.1 电梯控制器的发展现状 -21.2 本次设计的主要内容 -31.3 设计原理与思路 -41.4 硬件设计 -41.5 软件设计 -52、 FPGA 硬件结构知识 -62.1 FPGA 概述 -62.2 FPGA 体系结构 -62.3 FPGA 常用芯片介绍 -73、VHDL 硬件描述语言 -73.1 VHDL 语言特点 -73.2 状态机的 VHDL 实现 -84、电梯控制器的工作原理 -85、电梯控制系统的设计内容 -95.1 时钟分频模块 -95.2 按键处理模块 -115.3 电梯运行控制模块 -135.4 数码管显示模块 -195.5 电梯超重控制可行性分析 -206、 电梯控制器顶层图形 -217、 总结 -231、绪论1.1 电梯控制器的发展现状国家规定超过六楼以上的楼层必须按要求安装电梯,而且随着超高层建筑的出现,电梯的应用越来越来广泛了,与此同时,对电梯的要求也越来越高了。目前,电梯的设计、工艺不断提高,电梯的品种也逐渐增多,电梯的材质由黑白到彩色,样式由直式到斜式,在操纵控制方面更是步步出新:手柄开关操纵、按钮控制、信号控制、集选控制、人机对话等;多台电梯还出现了并联控制、智能群控;双层轿箱电梯展示出节省井道空间,提升运输能力的优势,变速式自动人行道扶梯大大节省了行人的时间;不同外形的电梯则使身处其中的乘客的视线不再封闭。电梯的结构分为:四大空间,八大系统;四大空间:机房部分、井道及地坑部分、轿厢部分、层站部分;八大系统:曳引系统、导向系统、轿厢、门系统、重量平衡系统、电力拖动系统、电气控制系统、安全保护系统;电梯的功能结构决定电梯的八大应用技术:1) 全数字识别乘客技术(所有乘客进入电梯前进行识别,其中包括眼球识别、指纹识别)2) 数字智能型安全控制技术(通过乘客识别系统或者 IC 卡以及数码监控设备,拒绝外来人员进入)3) 第四代无机房电梯技术(主机必须与导轨和轿厢分离,完全没有共振共鸣,速度可以达到 2.0M/S 以上,最高可以使用在 30 层以上。 )4) 双向安全保护技术(双向安全钳、双向限速器,在欧洲必须使用,中国正在被普遍使用)5) 快速安装技术(改变过去的电梯安装方法,能够快速组装)6) 节能技术(采用节能技术,使电梯更节约能源)7) 数字监控技术(完全采用计算机进行电梯监控与控制)8) 无线远程控制及报警装置(当电梯产生故障时,电梯可以通过无线装置给手机发送故障信息,并通过手机发送信号对电梯进行简单控制。 )本次设计主要是控制电梯的运行模式和状态,对信号进行处理的模块,重点在对响应的信号进行处理,并将处理结果反馈给对应功能的控制端口,实现对电梯运行的全面控制。1.2 本次设计的主要内容随着可编程逻辑电路和 EDA 技术的发展,在逻辑电路设计和嵌入式系统设计方面,以 CPLD/FPGA 为代表的可编程逻辑器件已经逐步代替了传统的标准逻辑器件;本次设计的电梯控制器所有的程序可以集成在一个 FPGA 开发芯片上面,不用在用其他功能的分立逻辑元件,达到集成度高、响应快、功耗低的特点。本次设计是基于 FPGA 的电梯控制器的研究,是电梯控制的核心技术,通过电梯控制器可以对电梯运行模式和状态进行全面的控制,这也是次设计的研究重要性;电梯的层数为 6 层,本次设计采用模块化设计,主要分为四大模块:时钟分频模块、按键处理模块、电梯运行控制模块、数码管显示模块。由于 FPGA 技术近些年来蓬勃发展,而且在很多领域已经应用的十分成熟,用 FPGA 可以实现对电梯精确、实时性控制,而且用于 FPGA 开发的芯片都是一些微处理器芯片,便于集成和智能化设计,而且大大缩短了开发周期。1.3 设计原理与思路本次设计是实现 6 层电梯的运行控制,当在某一楼层按下上升或者下降请求按钮时,控制器响应该请求并控制电梯前往该楼层,当到达该楼层时电梯开门,当进入电梯后,电梯关门,此时按下要到达的楼层按钮,控制器响应该请求并控制电梯前往该楼层,当到达前往楼层后,电梯开门,走出电梯,然后关门.,就这样往复的实现电梯的控制功能。电梯运行时遵循如下规则:当电梯处于上升模式时,只响应比电梯所在位置高的上楼信号,由下至上依次执行;直到最后一个上楼请求执行完毕,如有更高层有下楼请求时,则直接升到有下降请求的最高楼,然后进入下降模式,电梯处于下降模式时,则与上升相反。电梯的输入信号主要包括外部输入信号和内部输入信号;对于电梯外部输入信号:每一层电梯门外都有上升请求和下降请求按钮,其中一楼电梯门外只有上升请求按钮,六楼电梯门外只有下降请求按钮。对于电梯内部输入信号主要有:6 个前往楼层的按钮、提前关门按钮、延时关门按钮、电梯异常按钮。电梯输出信号也主要包括外部输出信号和内部输出信号;对于电梯外部输出信号包括上升请求按钮和下降按钮指示信号、电梯当前所在楼层指示信号、电梯运行方向指示信号。电梯内部输出信号包括 6 个前往楼层按钮指示信号、超重等警告指示信号、电梯当前所在楼层指示信号、电梯运行方面指示信号。1.4 硬件设计本次设计主要是通过 Altera 公司生产的 CycloneIII 这一款芯片进行功能的实现,CycloneIII 器件采用 TSMC90nm 低 K 绝缘材料工艺技术,这种技术结合 Altera 低成本的设计方式,使之能够在更低的成本下制造出更大容量的器件。这种新的器件比第一代 Cyclone 产品具有两倍多的 I/O 引脚,且对可编程逻辑的存储块和其它特性进行了最优的组合,具有许多新的增强特性。CycloneIII 器件包含了许多新的特性,如嵌入存储器、嵌入乘法器、PLL 和低成本的封装,这些都为诸如视频显示、数字电视(DTV)、机顶盒(STB)、DVD 播放器、DSL 调制解调器、家用网关和中低端路由器等批量应用进行了优化。在 EDA 设计的开发板上面,支持 SOPC 片上可编程,在 CycloneIII 芯片旁边外围有按键模块、液晶显示模块、LED 指示灯等,通过 Quartus平台将硬件描述语言下载至该芯片中,然后进行程序的调试、运行并进行功能的实现。1.5 软件设计Altera 的 FPGA 设计主要采用两种标准语言:VHDL/Verilog HDL。其中VerilogHDL 出现早,运用广泛,而且比较简单,在 NIOS 项目里运用较多;而VHDL 语言功能强大,语句相对要复杂一些,所以对于现在一些复杂的可编程项目多采用 VHDL 语言编写。VHDL(Very-High-Speed Integrated Circuit Hardware Description Language)主要用于描述数字系统的结构、行为、功能和接口。除了含有许多具有硬件特征的语句外, VHDL 的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL 的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分、端口)和内部(或称不可视部分) ,涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。如下图 4-1 是 VHDL 的层次模型:图 4-1 具有不同构造体的 VHDL 模型VHDL 语言具有强大的语言结构,只需采用简单明确的 VHDL 语言程序就可以描述十分复杂的硬件电路。同时,它还具有多层次的电路设计描述功能:既支持自顶向下的设计方式,也支持自底向上的设计方法;既支持模块化设计方法, 也支持层次化设计方法;既可描述系统级电路,也可以描述门级电路;描述方式既可以采用行为描述、寄存器传输描述或者结构描述,也可以采用三者的混合描述方式。VHDL 语言具有很强的移植能力,对于同一个硬件电路的VHDL 语言描述,它可以从一个模拟器移植到另一个模拟器上、从一个综合器移植到另一个综合器上或者从一个工作平台移植到另一个工作平台上去执行。VHDL 语言采用基于库 ( library) 的设计方法。在设计过程中,设计人员可以建立各种可再次利用的模块,一个大规模的硬件电路的设计不可能从门级电路开始一步步地进行设计,而是一些模块的累加,这些模块可以预先设计或者使用以前设计中的存档模块,将这些模块存放在库中,就可以在以后的设计中进行复用。本次设计主要是运用 VHDL 语言进行程序的编写,采用自顶向下、模块化设计方法。按照要求可以分为:时钟分频模块、按键处理模块、电梯运行控制模块、数码管显示模块;分别设计出这四个模块之后,进行调试、运行、功能仿真和时序分析,然后将生产的模块图在原理图编辑器中连接起来,组成完整的电梯控制器。2、FPGA 硬件结构知识2.1 FPGA 概述FPGA(Field Programmable Gate Arry)即现场可编程门阵列,它是在PAL、GAL、CPLD 等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点;FPGA 采用了逻辑单元阵列LCA(Logic Cell Array)这样一 3 个概念,内部包括可配置逻辑模块CLB(Configurable Logic Block) 、输入输出模块 IOB(Input Output Block)和内部连线(Interconnect)三个部分。2.2 FPGA 体系结构FPGA 采用逻辑单元阵列(LCA,Logic Cell Array)新概念,内部包括可配置逻辑模块(CLB,Configurable Logic Block) 、输入输出模块(IOB,Input Output Block)和内部互连资源(IR,Interconnect Resources)三部分组成。1) 可配置逻辑块(CLB,Configurable Logic Block)是 FPGA 的主要组成部分,主要是由逻辑函数发生器、触发器、数据选择器等电路组成。2) 输入输出模块(IOB,Input Output Block)提供了器件引脚和内部逻辑阵列之间的连接,主要是由输入触发器、输入缓冲器和输出触发、锁存器、输出缓冲器组成。3) 可编程互连资源(IR,Interconnect Resources)可以将 FPGA 内部的 CLB 和 CLB 之间、CLB 和 IOB 之间连接起来,构成各种具有复杂功能的系统,IR 主要由许多金属线段构成,这些金属线段带有可编程开关,通过自动布线实现各种电路的连接。2.3 FPGA 常用芯片介绍目前世界上有很多生产 FPGA 芯片的公司,常用的主要有:Altera、XIlinx、Lattice 和 Actel,其中 Altera 和 XIlinx 占据主流市场。1) Altera 的主流 FPGA 分为两大类:一种是侧重低成本、容量中等、性能可以满足一般逻辑设计要求的,如 Cyclone 系列;另一种是侧重高性能、容量大、性能可以满足各类高端应用,如 Stratix 系列。2) XIlinx 是 FPGA 发明者,是老牌 PLD,产品的种类较全,主要有:XC9500/4000、Cool Runner(XPLA3) 、Spartan、Virtex 等系列。3) Lattice 是在系统编程(ISP)技术的发明者,主要有:ispLSI2000/5000/8000、MACH4/5、ispMACH4000 等系列。4) Actel 公司是世界反熔丝技术的 FPGA 领先供应商,主要有两大系列的反熔丝 FPGA 产品 SX-A 和 MX 高速系列。3、VHDL 硬件描述语言3.1 VHDL 语言特点VHDL(Very-High-Speed Integrated Circuit Hardware Description Language)是一种标准的硬件描述语言,中文意思是超高速集成电路硬件描述语言;利用 VHDL 进行系统行为级设计已经成为 FPGA 与 ASIC 设计的主流,使用 VHDL 不仅可以快速的描述和综合 FPGA 设计,还可以提供一下的一些性能。1) 功能强大且很具有灵活性,VHDL 具有很强大的语言结构,可以用简洁明确的代码描述复杂的控制逻辑,语言很灵活,支持设计库和可重复使用的元件生成。2) 不依赖于器件的设计,VHDL 允许设计者生成一个设计而不需要首先选择一个用来实现设计的器件,对于同一个设计描述,可以采用多种不同的器件结构来实现其功能。3) 具有可移植性,因为 VHDL 是一种标准的语言,所以它可以被不同的工具所支持,这意味着同一个 VHDL 设计描述可以在不同的设计项目中采用4) 可以对其设计性能进行评估,设计者可以进行一个完整的设计描述,并对其进行综合,生产选定的器件结构的逻辑功能,然后评估结果,选用最适合设计需求的器件。5) 上市时间快、成本低、开发周期短,VHDL 语言的设计将大大提高数字单片化设计实现的速度,为生产者大大节约开发成本。3.2 状态机的 VHDL 实现有限状态机以及其设计技术是使用数字系统设计中的重要组成部分,也是实现高效率、高可靠和高速控制逻辑系统的重要途径,广义而论,只要是涉及到触发器的电路,都属于状态机,由此也可以看出其重要性。用 VHDL 设计的状态机根据不同的标准可以分为不同的形式:1) 从状态机的信号输出方式上分:Mealy 型和 Moore 型;2) 从状态机的描述结构上分:单进程状态机和多进程状态机;3) 从状态机表达形式上分:符号化状态机和确定状态编码状态机;4) 从状态机编码方式上分:顺序编码状态机、一位热编码状态机和其他编码方式状态机。实际设计过程中,接触最多的分类方法就是 Mealy 型和 Moore 型;从输出时序上看,前者是异步输出,后者是同步输出。Mealy 型状态机的输出是当前状态和所有输入信号的函数,它的输出是输入变化后立即变化的,不依赖时钟的同步。Moore 型状态的输出仅为当前状态的函数,但是在输入发生变化时还必须等到时钟的到来,输出才会变化,由此可见,Moore 型要多等待一个时钟周期。本次设计中,电梯控制模块部分就利用 Moore 型状态机的方法实现对电梯运行状态的同步控制,通过将电梯运行过程分解为一些实质性的状态来进行转换,使得电梯的运行变得方便、快捷、稳定。4、电梯控制器的工作原理本次设计是实现 6 层电梯的运行控制,当在某一楼层按下上升或者下降请求按钮时,控制器响应该请求并控制电梯前往该楼层,当到达该楼层时,电梯开门,当进入电梯后,电梯关门,此时按下要到达的楼层按钮,控制器响应该请求并控制电梯前往该楼层,当到达前往楼层后,电梯开门,走出电梯,然后关门.,就这样往复的实现电梯的控制功能。在电梯运行时遵循如下规则:当电梯处于上升模式时,只响应比电梯所在位置高的上楼信号,由下至上依次执行;直到最后一个上楼请求执行完毕,如有更高层有下楼请求时,则直接升到有下降请求的最高楼,然

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论