基于FPGA的交通灯控制系统_第1页
基于FPGA的交通灯控制系统_第2页
基于FPGA的交通灯控制系统_第3页
基于FPGA的交通灯控制系统_第4页
基于FPGA的交通灯控制系统_第5页
已阅读5页,还剩40页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

CPLD/FPGA 课程设计项目名称 基于 FPGA的交通灯控制系统 专业班级 电信 132 学生学号 2013134018 学生姓名 赵旭智 指导教师 刘道森 电信工程 132班,赵旭智(CPLD/FPGA 课程设计)2016 年 6 月 30 日电信工程 132班,赵旭智(CPLD/FPGA 课程设计)I摘 要随着国民经济的快速发展,城市基础设施建设越来越多,我国机动车保有量飞速增长,城市交通拥堵问题越来越严重。因此研究适合我国国情的安全、快捷、高效、现代化的交通信号控制系统是解决交通问题的有效方案之一。另外,随着可编程逻辑技术的不断进步和创新,FPGA ( Field Programmable Gate Array)已被广泛应用于数字系统的设计中。论文对基于 FPGA 的交通信号控制器进行研究。基于 FPGA 的交通信号控制器具有电路简单、实时快速擦写、运算速度快、故障率低、可靠性高,而且体积小等特点。系统通过功能扩展、接口扩展可同时控制多个路口的红绿灯变换,可根据需要实现实时、快速擦写应用程序的功能。利用 Verilog HDL ( HDL:Hardware DiscriptionLanguage)硬件描述语言对交通信号各种控制方案进行分模块设计,并在 Quartos II 开发平台上进行编译、仿真,最后下载到 FPGA 芯片上,结果表明控制系统能够自动实现交通信号控制器的灯色变换及倒计时显示。关键字: 交通灯; FPGA; VerilogHDL; Quartus ; Cyclone电信工程 132班,赵旭智(CPLD/FPGA 课程设计)II电信工程 132班,赵旭智(CPLD/FPGA 课程设计)IIAbstractWith the rapid development of national economy, more and more urban infrastructure construction, Chinas rapid growth, the urban traffic congestion problem more and more serious. So the suitable for Chinas national conditions of safe, quick, efficient, modern traffic signal control system is one of the effective measures to solve the traffic problems. In addition, with the constant progress of Programmable logic technology and innovation, the FPGA (Field Programmable Gate Array) has been widely applied in the design of digital system. Thesis study of traffic signal controller based on FPGA.Traffic signal controller based on FPGA has simple circuit, real-time fast wipe, quick speed, low failure rate, high reliability, small volume and etc. System through the functional extension, interface extension can control multiple intersections traffic light transformation at the same time, according to the need to implement real-time, quick wipe the functionality of the application.Using Verilog HDL (HDL: Hardware DiscriptionLanguage) Hardware description language of various traffic signal control scheme for module design, and compile on Quartos II development platform, simulation, finally downloaded to the FPGA chip, the results show that the control system can automatically realize the traffic signal controller of light color transformation and countdown display.Keywords: Traffic lights; FPGA; VerilogHDL; Quartus ; Cyclone电信工程 132班,赵旭智(CPLD/FPGA 课程设计)III目 录摘 要 .IAbstract.II第 1 章 所选项目的研究意义 .11.1 概述 .11.2 交通信号控制器的研究现状及发展趋势 .21.1 论文的主要研究内容及基本结构 .2第 2 章 交通信号控制器的系统设计 .42.1 交通控制理论概述 .42.1.1 交通控制理论分析 .42.1.2 路口的交通信号灯配置流程 .52.2 系统设计要求 .52.2.1 交通信号控制器的功能 .52.2.2 交通信号控制器的硬件要求 .52.3 系统整体设计方案 .62.4 本章小结 .7第 3 章 交通信号控制器的硬件设计 .83.1 系统整体框架 .83.2 硬件总体设计 .83.3 主芯片介绍 .9电信工程 132班,赵旭智(CPLD/FPGA 课程设计)IV3.4 单元电路设计 .103.4.1 时钟电路模块 .103.4.2 下载模块 .103.4.3 七段数码管电路 .113.5 本章小结 .12第 4 章 交通信号控制器的软件设计及仿真 .134.1 定时控制方案设计 .134.1.1 主控模块设计 .144.1.2 分频模块 .164.1.3 交通灯倒计时模块 .174.2 测试与仿真 .184.3 本章小结 .20第 5 章 结论与展望 .21参考文献 .22附 录 I.23附 录 II.25附 录 III.26致谢 .27电信工程 132班,赵旭智(CPLD/FPGA 课程设计)1第 1 章 所选项目的研究意义1.1 概述随着国民经济的快速发展,城市基础设施建设越来越成为一个城市与时俱进引领时代潮流的最基本的表现特征,现代化建筑和宽阔道路的建成是推动城市发展、引进投资建设的最好源动力。然而由于人口的不断增加以及道路设施建设不够完善,交通拥堵越来越引起人们的关注,道路交通问题成了影响城市文明和谐的主要元凶之一,如果我们能协调好车、人、路它们之间的关系,就能使交通问题得到有效的解决 1。红绿灯控制器已经成为当前普遍的交通指挥系统,成为疏导行人车辆,缓解路口交通压力和避免交通事故的有效手段。红绿灯作为指示信号来指挥交通早在 19世纪就已经在英国伦敦的街头出现了。世界上第一盏信号灯,被安装在英国的伦敦街口,它具有红蓝两种颜色,光源是燃煤气,能用来机械式的指挥交通;1858 年,以煤气为光源的红绿灯被安装在伦敦的威斯敏斯特区,它是旋转式的具有红绿两种颜色的玻璃提灯,红色表示“禁止”,绿色则用来提醒“注意”。它能很好的用来指挥议会大厦前的交通,直到 1869年的 1月 2日,突然爆炸的煤气灯让许多居民警察都受了伤,随后被伦敦相关管理部门出于安全因素考虑而被取消。到了 20世纪的初期,在纽约市的 5号大街的高塔上出现了一盏具有红、黄、绿三种颜色的圆形投光器,它就是用电气来启动的信号灯。此时的红绿信号灯表示的含义和现代已经类似了, 又出现了一种带控制的红绿灯和红外线信号灯组合的交通指挥系统。其中红绿灯是由压力探测器和声控器来启动的,当车辆接近时,压力探测器通过探测到车辆压力来将红灯变成绿灯,或者司机遇到红灯时一按喇叭,扩音器就会收集到声音信号从而将红灯变成绿灯 2。红外线信号灯能指挥行人,如果有人踏在那些压力敏感的路面,信号灯不但能感知到,还能通过红外光束对红灯时间延迟一些,这样就能有效地降低交通事故的发生率。由于红绿交通灯能保证车辆和人行按照一定的)匝序行驶,能有效的疏导人流道路,使道路交通得到良好的管理,并提高交通道路的运行能力,大大减少交通事故。因此在 1968年,联合国颁布了道路交通和道路标志信号协定,对红绿灯交通控制系统中各个信号灯的含义做了规定,从而统一了红绿信号灯的具体含义。在协议中,绿灯表示“允许通行”,无 论车辆处在前行还是左转还是右转车道,只要当前道路显示的是绿灯信号,就可以在该道路行驶。电信工程 132班,赵旭智(CPLD/FPGA 课程设计)21.2 交通信号控制器的研究现状及发展趋势当汽车作为交通工具出现在道路上的时候,此时的交通压力并不大,对于这时候的交通指挥可以采用“固定配时”的模式来控制红绿灯,从而实现对道路汽车的自动控制。随着私家汽车拥有量的以及生产制作工艺不断提高,交通流量急剧增加,使得原始的固定时间模式来控制红绿灯亮灭的交通指挥方案不能应对此时交通的随机性 3。正因为一天内不同时间的车辆的经过数目不一样,各国都在极研发一种全新的红绿灯控制模式来来实现交通信号灯的分时段控制,较于此前的固定时间模式,分时段控制交通信号灯的方案有了进一步的发展。随着时间的推移,相关部门对于分时段控制交通信号灯系统做了更深的改进,通过将相邻的几个(例如五个)交叉路口的实际交通运行情况进行统一分析和研究,从而避免了相邻路口缺乏统一协调而造成频繁停车的现象界上不同的地方采用的交通控制方案和红绿灯控制系统也不一样,比如,澳大利亚采用的是 SCATS系统,英国采用的是 SCOOT系统,这些系统都具有很强的高效性和实用性,作为典型的交通信号控制系统,在更多的国家和地区内推广和使用,逐步成为主要大城市的交叉路口红绿灯控制系统的主流。在我国,由于接触交通信号灯智能控制系统时间较晚,也就是在 20世纪末才启动对交通信号灯智能控制的调研,并于 2000年建立了全国智能交通系统协调发展指导小组,指出了中国 ITS创新体系的结构和战略的部署,从此开启了我国交通信号灯智能控制系统的新篇章 4。以 2001年来由科技部开发的国家“十五科学技术攻关”智能交通系统关键技术的项目还有示范工程的隆重启动为标志,顺利促进了中国 ITS的进展。中国很多科研者和开发机构都做了相当大的工作,如应用 CPLD, PLC、单片机、微机原理、FPGA 技术、数字电路等实现对交通信号灯控制系统的设计。最具代表性的是我国公安部交通管理研究所将交通建模和控制方法结合起来所研制的 JT GAJ控制系统,它是由管理单个十字路口的路口控制级、管理 5个比邻十字路口的中央控制级、负责一个区域内全部交叉。1.1 论文的主要研究内容及基本结构论文以交通信号控制理论为基础,对传统的定周期的多种信号相位变换模式,然后以 CycloneII FPGA芯片为核心,以 EPCS 16作为配置芯片,通过扩展主板电路与外围电路,完成了基于 FPGA的交通信号控制器专用芯片的硬件电路设计 5;同时对 FPGA的片上可编程系统进行分析和研究,设计出交通信号控电信工程 132班,赵旭智(CPLD/FPGA 课程设计)3制器的控制算法,采用 Verilog硬件描述语言对交通信号各种控制模式进行了分模块设计,并在 Quartos II集成开发平台上进行编译、仿真,最后下载到FPGA芯片上进行验证。本文分为五个部分,具体如下:第 1章所选项目的研究意义。阐述了本课题的研究背景和研究意义;介绍了交通信号控制系统的国内外研究现状,以及未来的发展趋势;总结了本课题的主要研究内容和完成的工作。第 2章 第二章交通信号控制器的系统设计。本章节主要对交通信号控制的基本理论和概念进行概述;研究了基于平面交叉口的多种信号控制方案,包括定时控制;然后根据交通信号控制器的系统控制需求,设计出控制系统的整体运行方案。第 3章 第三章交通信号控制器的硬件设计。本章节分

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论