本科毕业论文-微电子学.doc_第1页
本科毕业论文-微电子学.doc_第2页
本科毕业论文-微电子学.doc_第3页
本科毕业论文-微电子学.doc_第4页
本科毕业论文-微电子学.doc_第5页
已阅读5页,还剩40页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

四川大学本科毕业论文UART接口设计及验证iUART接口设计及FPGA验证TheDesignofUARTInterfaceandFPGAVerification专业:微电子一班学生:熊涛指导教师:王勇,高博摘要:随着电子技术的发展,以及数据传送的需要,通用异步接收/发送器(UART)已成为MCU、CPU、DSP等的基本配置,应用广泛。UART数据通过串行输入、输出,不同装置间通信不需要传送时钟信号,避免了同步传送的时序处理问题,数据线引脚较少,避免了信号间的相互干扰,是未来数据传送的发展方向。本文主要讨论如何应用VerilogHDL语言,基于FPGA器件实现可复用的UARTIPCore。通过修改配置文件,用户可以根据需要设置相应的参数,以实现相应功能的UART器件。本设计模块主要包括UART发送器、接收器、波特率发生器,以及总线接口控制逻辑。设计通过Xilinx公司的Spartan3系列器件XC3S400FPGA进行功能验证。关键词:UART、IP核、发送器、接收器、波特率发生器、VerilogHDLAbstract:Withthedevelopmentofelectronictechnology,astheneedofdatatransmitter,theUniversalAsynchronousReceiver/Transmitter(UART)nowisthebasiccomponentofMCU,CPU,DSP,etc.Byseriesdatainput/output,thereisnoneedtosendclocksignalwhencommunicationbetweendifferentequipments,avoidingtimingsynchronousproblem,andthedatapinisonlyone,avoidthedisturbamongthesignalsinparallelcommunication.So,seriesdatatransmittingisthedevelopmentdirectioninfuture.ThispaperfocusesonhowtouseVerilogHDLtocarryoutreusableUARTIPCore,basedonFPGA.Bymodifyingtheconfigurationdocuments,theusercandesigndifferentUARTcomponent.Thedesignismadeupofthesemodules,suchasTransmitter,Receiver,Bade_rategenerator,andtheBusinterfacemasteringlogic.Whenvalidatingthedesign,weuseXC3S400FPGAofXilinxCO.,LTDsSpartan-3spectrum.KeyWords:UART,IPCore,Transmitter,Receiver,VerilogHDL四川大学本科毕业论文UART接口设计及验证ii目录第一章引言1第二章UART的协议.22.1异步通信22.2串行通信的过程及通信协议.32.2.1串并转换与设备同步.32.2.2串行通信协议32.3UART的典型应用.52.4UART的时钟控制.62.5UART的数据采样.6第三章UART的硬件设计.73.1UART总体构架.73.1.1UART结构.73.1.2UART的帧格式.73.1.3UART的设计规格83.2串行数据发送模块113.2.1发送顶层模块.113.2.2取数控制.123.2.3发送状态机.133.2.4EDA工具验证143.3串行数据接收模块153.3.1接收顶层模块.153.3.2数据同步装置.153.3.3数据抽样装置.163.3.4接收状态机.173.3.5EDA工具验证.183.4波特率发生器183.4.1波特率发生器概述183.4.2EDA工具验证193.5总线接口控制模块.193.5.1接口功能描述203.5.2EDA综合结果.21四川大学本科毕业论文UART接口设计及验证iii第四章UART的FPGA验证.224.1XilinxSpartan-3系列器件介绍.224.2FPGA实现过程23第五章结论26致谢27参考文献28外文译文29翻译原文35四川大学本科毕业论文UART接口设计及验证1第一章引言目前,基于传统IC芯片的微电子应用系统设计技术正在转向基于知识产权(IP,IntellectualProperty)核的片上系统(SoC,SystemonChip)技术发展。IC设计在国内外的发展很快,各种规模的IC设计中心和公司不断出现。因此,IP核的设计已开始逐渐成为国内微电子系统设计的一项支撑技术。从应用功能角度划分,IP核分为两大类:微处理器IP核(如8位8051核、32位ARM核等)和各种接口IP核(如LCD控制器、各种串行总线接口IP核等)。其中,接口IP核在数字通信系统中有着广泛的应用。设备间的通信方式,可分为并行和串行两种。在相同频率下,并行总线优于串行总线。随着并行总线的数据传输率越来越高,传统的并行接口逐渐暴露出一些信号传输上的缺陷,其中最致命的莫过于信号互相干扰问题。要满足高速传输的需要,要么提升它的总线频率,要么增加数据宽度。但是这两种都会导致成本的上升,并且使信号偏移和串扰更加严重。而串行技术采有极少的数据线,并使用差分信号线,在传输数据时几乎不会因为受到干扰而出错。通用异步接收器和发送器(UART)用异步串行输入、输出进行通信。串行传输以速度为代价,换取了成本的降低和连线复杂度的降低。UART提供串行异步接收数据的同步化,发送器和接收器两个部分的并串转换和串并转换,对于串行传输系统而言,这些功能是必不可少的。串行数据流的同步化是通过给发送数据增加起始位和停止位、以形成一个数据字符而实现的。数据完整性是通过在数据字符中附加一个校验位来实现的,由接收器来检验。对于主机系统,UART就像一个能读取和写入的8位输入和输出端口,任何时候,当主机要发送数据,它只需以字节格式把这些数据发送到UART(8个位宽);当UART从另一个串行装置接收数据时,它把这些数据临时缓存在它的FIFO中(同样是8个位宽),然后通过内部寄存器位或硬件中断信号向主机指示这些数据的可用性。随着串行通信技术的发展,新一代的串行通信接口如USB1.0,USB2.0,1394,SATA等高速串行接口逐渐成熟,串行通信的传输速度不断提升,接口技术有串行化的趋势。本课题通过对由CCITT制定的串并转换协议RS-232-C,及其协议实现的通用器件UART的研究、实现,以期进一步了解串行通信技术,并系统学习IPCore的设计。四川大学本科毕业论文UART接口设计及验证2第二章UART的协议UART(即通用异步接收传送器)按照异步通信传输格式传送数据,它的传送符合通信协议的规范。以下主要介绍异步通信及通信协议,以及UART中数据传输的具体方式。2.1异步通信在异步通信中,数据是一帧一帧(包括一个字符代码或一个字节数据)传送的,一帧的数据格式如下图所示。图2-1异步通信的一帧数据格式在帧格式中,一个字符由四个部分组成:起始位、数据位、奇偶校验位和停止位。起始位(0)只占用一位,通知接收设备一个待接收的字符开始到达。线路上不传送字符时应保持为1,接收端不断检测线路的状态,若连续为1后测到一个0,就表示发来一个新字符,应马上准备接收。字符的起始位还被用作同步接收端的时钟,以保证以后的接收能正确进行。起始位后面紧接着是数据位,它可以是5位(D0D4)、6位、7位或8位(D0D7)。四川大学本科毕业论文UART接口设计及验证3奇偶校验(D8)只占一位,也可以不用校验位,则这一位就可省略。也可用这一位(1/0)来确定这一帧中的字符所代表信息的性质(地址/数据等)。停止位用来表征字符的结束,一定是高电位(1)。停止位位宽可以是1位、1.5位或者2位。接收端收到停止位后,表明上一字符已传送完毕,同时,也为接收下一个字符做好准备,如果再接收到0,就是新的字符开始传送。若停止位以后不是紧接着一个字符,则使线路电平保持为高电平(1)。2.2串行通信的过程及通信协议串行通信指数据是一位一位按顺序传送的通信方式。它的突出优点是只需一对传输线,这样就大大降低了传送成本,特别适用于远距离通信;其缺点是传送速度较低。串行通信的传送方向通常有三种:单工、半双工、全双工。全双工是一对单向配置,它要求两端的通信设备都具备完整和独立的发送和接收能力。本文设计的UART以全双工模式工作。2.2.1串并转换与设备同步两个通信设备在串行线路上成功地实现通信必须解决两个问题:一是串并、并串转换,即如何把要发送的并行数据串行化,把接收的串行数据并行化;二是设备同步,即同步发送设备和接收设备的工作节拍,以确保发送数据在接收端被正确读出。2.2.1.1并串转换与串并转换串行通信是将计算机内部的并行数据转换成串行数据,然后将其通过一根通信线传送;在接收时将串行数据再转换成并行数据,再通过与主机的连接将其送到计算机中。在计算机串行发送数据之前,计算机内部的并行数据被送到移位寄存器并一位一位地移出,将并行数据转换成串行数据。如下图所示。图2-2发送时的并串转换在接收数据时,来自通信线路的串行数据被送入移位寄存器,移位保存满8位后并行8765432187654321287654318并行数据串行数据四川大学本科毕业论文UART接口设计及验证4送到计算机内部。图2-3接收时的串并转换2.2.1.2设备同步进行串行通信的两台设备必须同步工作才能有效地检测通信线路上地信号变化,从而采样传送数据脉冲。设备同步必须保证两点:一是必须采用统一的编码方法;二是通信双方必须保证相同的传送速率。统一的编码方法确定了一个字符的位发送顺序和位串长度,以及统一的逻辑电平规定,即电平信号高低与逻辑1和逻辑0的固定对应关系。通信双方只有保持相同的速率,才能确保设备同步,这就要求发送设备和接收设备采用相同频率的时钟。发送设备在统一的时钟脉冲上发出数据,接收设备才能正确检测出与时钟脉冲同步的数据信息。2.2.2串行通信协议通信协议是对数据传送方式的规定,包括数据格式定义和数据位定义等。通信双方必须遵循统一的

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论